Programmation d'une matrice en VHDL
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

Programmation d'une matrice en VHDL



  1. #1
    invitec4af4119

    Programmation d'une matrice en VHDL


    ------

    Bonsoir,
    Comment programmer en VHDL une matrice qui varie tout les 4ms.C'est une matrice à 39 colonnes et 10 lignes.
    Merci.

    -----
    Fichiers attachés Fichiers attachés

  2. #2
    jiherve

    Re : Programmation d'une matrice en VHDL

    Bonjour,
    ton problème c'est de manipuler un tableau de valeurs, donc il te faut une mémoire, l'instanciation de ce type de fonction dépend beaucoup de la cible mais un truc type:
    type table is array(0 to N) of integer;
    matrix : table;
    devrait faire l'affaire.
    bien sur reste à écrire et lire dedans.
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. [vhdl] Récupérer la carry d'une addition de deux vecteurs
    Par invite9c1c46bb dans le forum Électronique
    Réponses: 2
    Dernier message: 30/04/2009, 16h55
  2. Aide VHDL matrice
    Par invite8206142f dans le forum Électronique
    Réponses: 3
    Dernier message: 03/04/2009, 02h36
  3. programmation VHDL du VGA
    Par invited6661c5b dans le forum Électronique
    Réponses: 5
    Dernier message: 20/10/2008, 14h59
  4. programmation VHDL
    Par inviteb61639fd dans le forum TPE / TIPE et autres travaux
    Réponses: 0
    Dernier message: 03/03/2008, 16h24
  5. Inverse d'une matrice sous la forme d'une expression polynomiale.
    Par invited89c0c70 dans le forum Mathématiques du supérieur
    Réponses: 4
    Dernier message: 28/05/2006, 14h12
Découvrez nos comparatifs produits sur l'informatique et les technologies.