Aide VHDL matrice
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

Aide VHDL matrice



  1. #1
    invite8206142f

    Aide VHDL matrice


    ------

    Bonjour a vous tous,

    Je dois faire une matrice de cellule (N*N).

    J'ai fais le code de la cellule, tester une matrice 2*2 en dure mais je dois faire maintenant une matrice N*N.

    Je dois donc utiliser les option "generate" et "generic" dans ce code mais j'ai du mal a comprendre leur utilisation.

    si vous avez deux trois exemple je serai preneur,

    si vous avez besoin de plus de détail n'hésitez pas a demander,

    merci d'avance de votre coup de main,

    David.

    edit :

    en gros ceci est ma cellule :

    component cell is
    port( RST, CLK,
    DNi, DSi, DEi, DWi : in std_logic;
    DNo, DSo, DEo, DWo : out std_logic);
    end component;

    merci encore !

    -----

  2. #2
    invite8206142f

    Re : Aide VHDL matrice

    de même ...

    comment déclarer les "port" de mon entité matrix N*N ? si je ne connais pas N (le nombre d'entré/sortie vas varier en fct de N ...).

    ex :
    entity SYNCH_N_N is

    port(...);

    end entity SYNCH_N_N;

    merci encore de votre aide car je suis un peu perdu .

  3. #3
    jiherve

    Re : Aide VHDL matrice

    Bonjour
    Tu déclares un generic : size par exemple
    ton composant est alors:
    entity cell is
    generic( size : integer range 1 to size_max);
    port( RST, CLK,
    DNi, DSi, DEi, DWi : in std_logic_vector(size -1 downto 0);
    DNo, DSo, DEo, DWo : out std_logic_vector(size -1 downto 0));
    end entity;

    et pour le code tu écris:

    lbl1 : for in in 0 to size-1 generate
    blabla blabla...
    end generate lbl1;
    le label est obligatoire.
    JR
    l'électronique c'est pas du vaudou!

  4. #4
    invite8206142f

    Re : Aide VHDL matrice

    super merci beaucoup !

    J'ai une magnifique immense matrice mainenant ! mais mon pc lague du coup ...

    a+

  5. A voir en vidéo sur Futura

Discussions similaires

  1. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  2. programme vhdl:besoin d aide
    Par invite165c4689 dans le forum Électronique
    Réponses: 2
    Dernier message: 18/05/2008, 22h56
  3. probleme da un projet de VHDL aide moi SVP
    Par invite7038cc58 dans le forum Électronique
    Réponses: 2
    Dernier message: 30/04/2008, 19h54
  4. Aide pour compteur en VHDL
    Par inviteca665004 dans le forum Électronique
    Réponses: 12
    Dernier message: 10/03/2008, 21h32
  5. Cherche aide pour programme vhdl
    Par invite777b7619 dans le forum Électronique
    Réponses: 2
    Dernier message: 26/02/2007, 19h16
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...