implémenter sur fpga un circuit écrit en vhdl
Répondre à la discussion
Affichage des résultats 1 à 3 sur 3

implémenter sur fpga un circuit écrit en vhdl



  1. #1
    invite0374bd4b

    Question implémenter sur fpga un circuit écrit en vhdl


    ------

    Bonjour,

    j'ai écrit un code vhdl d'un diviseur de nombres entiers positifs (par exemple 80:7=11,reste=3). mon problème réside dans l'implémentation de ce code sur fpga spartan xc3s200 (fréq=50 MHz) pour pouvoir afficher le résultat sur les 8 leds de la carte fpga.
    Pour détailler un peu mon circuit diviseur se compose d'un dividende (codé sur 7 bits ) et d'un diviseur (codé sur 4 bits), le code donne en sortie un quotient (codé sur 4 bits) et un reste codé sur (7 bits).
    donc je dois rentrer sur les boutons qui commandent les leds le dividende et le diviseur afin de voir le résultat de quotient et de reste tout en prenant en compte les problèmes de génération d'horloge.

    Pourriez-vous me donner des pistes ?

    Merci d'avance

    -----

  2. #2
    invite0374bd4b

    Re : implémenter sur fpga un circuit écrit en vhdl

    personne pour m'aider...

  3. #3
    invite0258f73f

    Re : implémenter sur fpga un circuit écrit en vhdl

    Ton diviseur est il purement combinatoire ou est t'il pipeliné ?

Discussions similaires

  1. PROGRAMME ecrit en c sur DOS convertit en windows
    Par aydi dans le forum Logiciel - Software - Open Source
    Réponses: 5
    Dernier message: 05/08/2009, 11h18
  2. Conseil pour un circuit FPGA!!
    Par invite8071addf dans le forum Électronique
    Réponses: 2
    Dernier message: 15/06/2009, 20h19
  3. Ou trouver des cours d'électronique num (vhdl, fpga)
    Par noir_desir dans le forum Électronique
    Réponses: 11
    Dernier message: 24/06/2008, 20h45
  4. Vhdl & Fpga
    Par ak47only dans le forum Électronique
    Réponses: 0
    Dernier message: 16/12/2007, 15h43
  5. VHDL vers circuit logique
    Par invite91e50fe1 dans le forum Électronique
    Réponses: 15
    Dernier message: 16/09/2007, 15h09
Découvrez nos comparatifs produits sur l'informatique et les technologies.