VHDL vers circuit logique
Répondre à la discussion
Affichage des résultats 1 à 16 sur 16

VHDL vers circuit logique



  1. #1
    invite91e50fe1

    VHDL vers circuit logique


    ------

    Bonjour,

    Après avoir fait des circuits logiques directement avec des portes, j'ai découvert VHDL.

    Ma question principale est comment passer du code VHDL à un circuit logique ? J'ai cru comprendre que cela était possible avec des logiciels.
    J'ai fait des recherches à ce sujet sans rien trouver, si vous avez des noms de logiciels, des liens, etc... ça m'intéresse

    Sinon pendant qu'on y est j'aimerais savoir quel(s) logiciel(s) vous utilisez pour créer vos circuits (d'assez grande taille) directement à base de portes logiques.
    Digsim ne me convient pas. J'ai pas mal travaillé avec Circuit Shop mais la vitesse de simulation est déprimante avec la taille de mes circuits ^^

    Ah oui, l'idéal ça serait des solutions libres ou gratuites

    Merci beaucoup :P

    ++

    -----

  2. #2
    invite55fe29e6

    Re : VHDL vers circuit logique

    Bonsoir,

    Pour pouvoir programmé en VHDL tu as un logiciel très connu qui a était dévelloppé par LATICE est qui est gratuit,
    Voir chez LATICE http://www.latticesemi.com/products/...rter/index.cfm

    Voila ou sinon tu as Quartus de chez Altera
    Après avoir télécharger ceci tu aurras de quoi faire de la programmation VHDL,
    Pour ceux qui est du programmateur après soit qaut que tu le frabique ( Difficil a trouvre un schéma fiable sur le net) ou soit après faut lacheté aie aie aie voila
    Cordialement Paulochon

  3. #3
    jiherve

    Re : VHDL vers circuit logique

    Bonsoir
    voir chez Altera, Xylinx, Lattice, Actel, Quicklogic pour ne pas faire de jaloux!
    JR

  4. #4
    invite91e50fe1

    Re : VHDL vers circuit logique

    Ok merci, je suis en train de télécharger ispLever de Quartus. Ca a l'air d'une usine à gaz longue à maîtriser :P

    J'ai regardé la démo, je ne suis pas sûr que ce logiciel permettent de voir son circuit jusqu'au portes logiques elles-mêmes, mais j'ai l'impression que la granularité s'arrête à de petits modules remplissant chacun une fonctionnalité.

    Ce que je voudrais ça serait réellement d'obtenir le circuit sous forme de portes logiques et rien de plus. Est-ce que ispLever de Quartus le permet ?
    Pour ce qui est de la programmation et simulation VHDL je me débrouille déja avec un éditeur de texte, GHDL et GtkWave

    Merci
    ++

  5. A voir en vidéo sur Futura
  6. #5
    invite55fe29e6

    Re : VHDL vers circuit logique

    Moi j'ai l'habitude de travailler avec ISPlever,
    Ce logiciel la programmation VHDL en saisie direct des ligne de Code,
    Mais il permet aussi d'avoir une programmation par interface graphique,
    En tout cas je trouve ISPlever assez intuitif en ce qui concerne l'interface graphique.
    En tout les cas il faut si mettre pour apprendre, tu verras le VHDL est un langage sympatique comme tout,
    De toute manière quand ISPlever va te compiler ton fichier il va le convertir un langage ABEL, mais j'ai une question quesque tu veux faire exatement??

    Cordiallment Paulochon

  7. #6
    invite91e50fe1

    Re : VHDL vers circuit logique

    Ce que je veux faire, c'est principalement des processeurs, pour m'amuser comme ça... Je m'étais amusé y a un an à créer un petit processeur avec Circuit Shop, donc uniquement à base de portes logiques et bascules (et une horloge bien sûr).

    J'aimerais bien créer une deuxième version de mon (très modeste) processeur. Je prefere avoir comme résultat un schéma avec des portes logiques plutôt que du simple code VHDL.

    Je n'ai pour l'instant pas l'intention de passer à la fabrication de mes circuits, c'est juste pour le plaisir de voir le "réseau" de portes.

    Ce que j'aurais voulu c'est passer du code VHDL (ça je sais faire) à un ensemble de portes logiques. Et je pense qu'un logiciel doit pouvoir faire ça, c'est ce que je cherche.

    Je commence à penser que dans mon cas, il vaut mieux que je reste à directement concevoir mes circuits à base de portes, sans VHDL. Mais dans ce cas je cherche un logiciel qui permet d'en concevoir des grands, et avec un vitesse de simulation pas trop lente (avec mes circuits je suis rendu à environ 1Hz sous Circuit Shop ^^)

    Ce que je demande doit parraître bizarre Y a pas vraiment d'utilité et d'efficacité dans la démarche ^^


    Donc ma question se ré-orienterait peut-être vers : quel logiciel permet de créer des circuits logiques (électronique numérique) d'assez grande ampleur avec une vitesse de simulation correcte ?

    Merci
    ++

  8. #7
    invite16331e6f

    Re : VHDL vers circuit logique

    Citation Envoyé par jiherve Voir le message
    Bonsoir
    voir chez Altera, Xylinx, Lattice, Actel, Quicklogic pour ne pas faire de jaloux!
    JR
    C'est Synopsys qui va pas être content avec son Synopsys Design Vision qui te permet de voir le schéma en porte logique de ton code. Problème : je sais pas et je pense pas (à faut chercher un peu) qu'il existe une version gratuite, mais sinon c'est un très bon programme "relativement" facile à utiliser...

  9. #8
    jiherve

    Re : VHDL vers circuit logique

    Bonjour
    Isplever c'est Lattice , Quartus c'est Altera faut pas tout mélanger.
    Rare sont les compilo VHDL qui fournissent un equivalent en porte car cela ne présente aucun intérêt!
    On peut toutefois récupérer un ** .edo qui peut être transcrit en portes de bases!
    Voir aussi HDL designer chez Altera (pas vraiment gratuit!)
    Pour la question subsidiaire de loonies : Modelsim bon compilateur et simulateur au top!
    Avec un core duo 2,3GHz/ 2GO de Ram je simule 30K lcell (100/150K portes) @ 150Mhz en des temps raisonnables : 2 heures pour 10ms de fonctionnement réel.
    On peut diviser par deux avec un bi xeons/bicoeur(core duo of course)!
    JR

  10. #9
    invite91e50fe1

    Re : VHDL vers circuit logique

    Merci pour vos réponses (et désolé pour le délai de la mienne, mais j'ai pas internet à disposition chez moi)

    "Isplever c'est Lattice , Quartus c'est Altera faut pas tout mélanger."
    C'est vrai j'ai un peu mélangé là ^^ Je parlais bien du produit de Lattice

    Pour ce qui est de Design Vision, j'ai regardé quelques documentations, ça a l'air de me convenir par trop mal. J'ai pas encore trouver exactement comment le télécharger (:s )

    "Rare sont les compilo VHDL qui fournissent un equivalent en porte car cela ne présente aucun intérêt!"
    Je sais que ça n'a pas d'interêt concret mais c'est que j'aime bien voir mon circuit en porte plutôt qu'en code, c'est jute pour le plaisir C'est un peu comme désassembler un programme en quelque sorte Je trouve ça frustrant de pas voir ses portes logiques ^^

    J'avoue que je commence à comprendre l'interêt de VHDL.

    Si j'ai d'autres questions je vous en parlerais mais pour l'instant en ce semaine j'ai pas trop les moyens de faire des choses.

    Merci
    ++

  11. #10
    invite553ae296

    Re : VHDL vers circuit logique

    Dans lattice ( chez lattice ) il y a un processeur pour utilisation dans isplever .
    un de 8 bits .

  12. #11
    f6bes

    Re : VHDL vers circuit logique

    Citation Envoyé par loonies Voir le message

    Je sais que ça n'a pas d'interêt concret mais c'est que j'aime bien voir mon circuit en porte plutôt qu'en code, c'est jute pour le plaisir C'est un peu comme désassembler un programme en quelque sorte Je trouve ça frustrant de pas voir ses portes logiques ^^
    Bjr loonies,
    Je vois que tu restes dans le "raisonnable":tu ne demande pas à VOIR les
    transistors qui se trouvent DANS les portes !!!

    Le VHDL c'est JUSTEMENT fait pour ne pas s'ENCOMBRER l'esprit !
    Bonne soirée

  13. #12
    invite553ae296

    Re : VHDL vers circuit logique

    Les fabriquants le fonts eux les transistors des portes .

    le problème avec isplever ( lattice ) c'est de se procurer des circuits en quantitée résonable , et de qualitée .

    en général les bon circuit des grandes séries pour l'industrie sont raremen trovable a la pièce .

    a la pièce ou en petite quantitée sont les rebuts de qualitée courante , vitesse moyenne ...etc .

  14. #13
    DAUDET78

    Re : VHDL vers circuit logique

    Citation Envoyé par maedupuis Voir le message
    a la pièce ou en petite quantitée sont les rebuts de qualitée courante , vitesse moyenne ...etc .
    Tu n'as pas le droit de parler de "rebut". Un composants que tu achètes répond à une spécification précises. Que tu ne puisses pas trouver TOUS les composants est une autre histoire !
    J'aime pas le Grec

  15. #14
    invite553ae296

    Re : VHDL vers circuit logique

    Ce que je voulais dire , c'est que la fourchettes des limites de caractéristiques
    se trouve dans les limite les moins.. bonnes , il produisent en série les caractéristiques qui ne conviennes pas pour des limites définie sonts placées dans le groupes des limites ... inférieurs .

    et vendu comme produit courant .

  16. #15
    DAUDET78

    Re : VHDL vers circuit logique

    C'est ton avis qui n'est ettayé par aucunes preuves concrêtes. C'est, à la limite, de la diffamation gratuite.
    J'aime pas le Grec

  17. #16
    jiherve

    Re : VHDL vers circuit logique

    Citation Envoyé par DAUDET78 Voir le message
    C'est ton avis qui n'est ettayé par aucunes preuves concrêtes. C'est, à la limite, de la diffamation gratuite.
    Bonjour
    Il n'a pas tout à fait tord car certains composants et surtout certains grade sont inaccessibles au grand public soit pour des raison de prix soit pour des raisons moins avouables :
    http://www.forces.gc.ca/site/Newsroo..._f.asp?id=2290
    http://en.wikipedia.org/wiki/Interna...ms_Regulations
    big brother watches and keeps you!
    Et avec ça il vaut mieux ne pas rigoler !

    Je me souvient également d'une époque (mid 70's) ou nous avions détecté un biais dans la répartition des caractéristiques de certains composants(54XXX) , il manquait la partie centrale de la gaussienne étrange non?
    En fait la réponse est non car il est courant (dans la grosse industrie) de commander des pièces sur spécifications, le fabriquant trie, nous vend ce que nous sommes près à payer trés cher et revend le reste qui reste conforme à la data sheet car celle ci est suffisamment large pour couvrir ce cas, business is business!

    Enfin sur un waffer il y a plusieurs qualités comme dans une bille de bois, le coeur et l'aubier et cela ne se vend pas au même prix; Bien sur c'est visible dans la data sheet si l'on prend le temps de la lire mais par exemple tel design qui tourne dans un -4 à 100Mhz ne fonctionnera pas dans un -8 a la même fréquence!
    Et ce n'est que la partie émergée de l'iceberg!

    JR

Discussions similaires

  1. Logique Système - Logique Causale - Implications Cosmologiques
    Par invite1ab59cc3 dans le forum Epistémologie et Logique (archives)
    Réponses: 6
    Dernier message: 06/11/2007, 11h57
  2. Electronique numérique: circuit logique
    Par invitec13ffb79 dans le forum Physique
    Réponses: 1
    Dernier message: 24/11/2006, 11h49
  3. Circuit Ultrason avec I/O logique
    Par invite98d1fb1e dans le forum Électronique
    Réponses: 3
    Dernier message: 17/02/2006, 14h18
  4. circuit logique, cellule non-ou
    Par invitedee75395 dans le forum Physique
    Réponses: 2
    Dernier message: 02/10/2005, 15h56
  5. interrupteur commandé par un circuit logique ??
    Par invite1706f191 dans le forum Électronique
    Réponses: 3
    Dernier message: 06/01/2004, 15h28
Découvrez nos comparatifs produits sur l'informatique et les technologies.