Bonjour!
J'aimerai écrire en vhdl un circuit qui me permettra de convertir un réel en binaire. Je réserve le bit de poids fort au bit de signe, 1 bit pour la partie entière et 10 bit pour la partie fractionnaire. J'utiliserai la virgule fixe pour faire la conversion.
Je sais que la conversion de la partie fractionnaire est une succession d'une multiplication par 2 ( 0.25*2= 0.5 -----------------> on retient 0
O.5*2= 1---------------------> on retient 1)
donc la conversion de 0.25 en binaire me donnera par exemple 0,01.
Est ce que je dois utilisé une multiplication successive en vhdl pour implémenter d'abord la partie entière...????

Je vous sollicite si vous savez une idée.
Merci .

Charko !!!!