Comment convertir explicitement une variable bit_vector en signed Merci
Bonjour, normalement avec "signed(toto)" cela devrait le faire. il faut : library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; JR
l'électronique c'est pas du vaudou!