Programmation vhdl
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

Programmation vhdl



  1. #1
    invite0d5fe536

    Programmation vhdl


    ------

    Comment convertir explicitement une variable bit_vector en signed

    Merci

    -----

  2. #2
    jiherve

    Re : Programmation vhdl

    Bonjour,
    normalement avec "signed(toto)" cela devrait le faire.
    il faut :
    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;

    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. programmation VHDL
    Par invite41088425 dans le forum Électronique
    Réponses: 5
    Dernier message: 26/04/2010, 19h24
  2. programmation VHDL GAL22v10
    Par invitebad664f6 dans le forum Électronique
    Réponses: 1
    Dernier message: 19/01/2010, 14h20
  3. Programmation d'une matrice en VHDL
    Par invitec4af4119 dans le forum Électronique
    Réponses: 1
    Dernier message: 01/08/2009, 15h29
  4. programmation VHDL du VGA
    Par invited6661c5b dans le forum Électronique
    Réponses: 5
    Dernier message: 20/10/2008, 14h59
  5. programmation VHDL
    Par inviteb61639fd dans le forum TPE / TIPE et autres travaux
    Réponses: 0
    Dernier message: 03/03/2008, 16h24
Découvrez nos comparatifs produits sur l'informatique et les technologies.