signed unsigned std_logic_vector vhdl
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

signed unsigned std_logic_vector vhdl



  1. #1
    invite938b5933

    signed unsigned std_logic_vector vhdl


    ------

    bonjour,

    alors voila je vous explique mon problème.

    Je suis sous quartus 2 et programme un max2 de chez altera.
    J'ai un une valeur qui est en fait l'écart entre deux données (donc soit positif soit négatif)
    variable ecart : std_logic_vector ( 0 to 24):=(others =>'0');
    Le probleme que j'ai, c'est pour comparer cette valeur avec une autre quand elle est négative.
    description algo :
    if (ecart > 0) then
    ecart_max_1 := ecart;
    if (ecart_max_1 > ecart_max_final) then
    ecart_max_final <= ecart_max_1;
    else if (ecart_max_1 < ecart_max_final) then
    ecart_max_final <= ecart_max_final;
    end if;
    end if;
    else if (ecart < 0) then
    ecart_min_1 := ecart;
    if (ecart_min_1 < ecart_min_final) then
    ecart_min_final <= ecart_min_1;
    else if (ecart_min_1 > ecart_min_final) then
    ecart_min_final <= ecart_min_final;
    end if;
    end if;
    else if (ecart = 0) then
    ecart_min_final <= ecart_min_final;
    ecart_max_final <= ecart_max_final;
    end if;
    end if;
    Le code ci dessus ne marche pas, mais c'est ce que j'aimerais faire.
    Si l'ecart est positif, alors je regarde si cette ecart est superieur a l'ecart precedent et si c'est le cas alors je change la valeur ecart max sinon je garde l'ancienne valeur ecart max.
    Idem pour ecart min.
    Seulement, en vhdl je ne sais pas trop comment gerer les std_logic_vector en unsigned ou signed.
    Comment puis je faire?
    Merci

    -----

  2. #2
    jiherve

    Re : signed unsigned std_logic_vector vhdl

    Bonsoir,
    en partant d'une valeur toto:std_logic_vector les conversions sont:
    unsigned(toto) ou signed(toto).
    il faut ieee.numeric_std.all
    Jr
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. [C] - Conversion type unsigned int pour affichage sur modules 7 segments
    Par invite3c35244f dans le forum Électronique
    Réponses: 4
    Dernier message: 08/07/2010, 13h59
  2. Calcul unsigned int pic18f4420
    Par invitea6e03a7f dans le forum Électronique
    Réponses: 5
    Dernier message: 19/05/2010, 16h28
  3. unsigned demande explication dans programme
    Par inviteac751535 dans le forum Logiciel - Software - Open Source
    Réponses: 1
    Dernier message: 04/05/2010, 23h06
  4. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  5. affecter les ports d'un pic à un unsigned int ou autre???
    Par invite0b3246fe dans le forum Électronique
    Réponses: 14
    Dernier message: 29/05/2006, 09h30
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...