manipuler les matrices sous xilinx
Répondre à la discussion
Affichage des résultats 1 à 28 sur 28

manipuler les matrices sous xilinx



  1. #1
    invitefdd33202

    manipuler les matrices sous xilinx


    ------

    salut tout le monde
    svp quelqu'un connait comment manipuler les matrices sous xilinx

    -----

  2. #2
    jiherve

    Re : manipuler les matrices sous xilinx

    Bonsoir,
    faire du calcul matriciel ?
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    invitefdd33202

    Re : manipuler les matrices sous xilinx

    Citation Envoyé par jiherve Voir le message
    Bonsoir,
    faire du calcul matriciel ?
    JR
    salut
    premièrement merci pour votre réponse
    mois je voudrais faire la multiplication de 2 matrice
    T*I chaque matrice de 8*8
    merci MR jiherve

  4. #4
    jiherve

    Re : manipuler les matrices sous xilinx

    Re
    et je présume que les coeff des matrices sont des flottants?
    C'est tout de même assez complexe et cela dépasse les limites d'un forum comme celui ci.
    farfouiller sur opencores.org pour voir ce qui existe.
    JR
    l'électronique c'est pas du vaudou!

  5. A voir en vidéo sur Futura
  6. #5
    invitefdd33202

    Re : manipuler les matrices sous xilinx

    salut
    merci beaucoup pour votre réponse
    oui j'ai des flottants mais je voudrais pour le moment juste un produit simple entre deux matrices

  7. #6
    jiherve

    Re : manipuler les matrices sous xilinx

    Bonjour,
    comme je ne crois pas que la fonction existe t elle qu'elle il faut instancier multiplicateur et additionneurs.
    JR
    l'électronique c'est pas du vaudou!

  8. #7
    invitefdd33202

    Re : manipuler les matrices sous xilinx

    Citation Envoyé par jiherve Voir le message
    Bonjour,
    comme je ne crois pas que la fonction existe t elle qu'elle il faut instancier multiplicateur et additionneurs.
    JR
    merci MR jiherve
    c'est ça qu'est ce que je suis en train de faire "des essais"
    merci encore

  9. #8
    fsoni

    Re : manipuler les matrices sous xilinx

    Bonsoir Jiherve,
    j'ai un probléme sous xilinx, il m'affiche l'erreur suivante pour cette ligne de code, sachant que mat est une matrice 3*3
    Code:
     if (reset = '1') then
        mat <= (others => (others =>'0'));
    end if;
    comment je peux faire?
    merci de m'aider

  10. #9
    indri

    Re : manipuler les matrices sous xilinx

    Déclaration de mat?
    Que dit le message d'erreurs?

    Ps: evite de squatter de veilles discussion
    Là où va le vent...

  11. #10
    fsoni

    Re : manipuler les matrices sous xilinx

    merci pour votre réponse
    je l'ai déja résolu mais il me reste le probléme d'incompatibilité entre les types
    Code:
    mat(i1,j1)<= tab((i1*2)+j1) ;
    ou encore
    Code:
     Output(0) <= e
    sachant que output est un tableau et e est un integer

  12. #11
    indri

    Re : manipuler les matrices sous xilinx

    Citation Envoyé par indri Voir le message
    Déclaration des variables ou signaux?
    Que dit le message d'erreurs?

    Ps: evite de squatter de veilles discussion
    je répète ^^
    Là où va le vent...

  13. #12
    fsoni

    Re : manipuler les matrices sous xilinx

    voila la déclaration de tab et mat:
    Code:
    tab : in bit_vector(0 to 8);
    et
    Code:
     signal mat: matrix;
    le message d'erreur est "Type of mat is incompatible with type of tab"
    Dernière modification par fsoni ; 04/02/2013 à 16h58.

  14. #13
    indri

    Re : manipuler les matrices sous xilinx

    et matrix?

    ca doit être qqch comme

    type matrix is array (0 to 4) of bit vector(0 to 8)

    ??
    Là où va le vent...

  15. #14
    fsoni

    Re : manipuler les matrices sous xilinx

    Salut indri,
    oui type matrix is array(0 to 4,0 to 4) of bit; c'est une matrice

  16. #15
    indri

    Re : manipuler les matrices sous xilinx

    ah ben voilà... "of bit" et toi tu lui met un byte entier!
    Là où va le vent...

  17. #16
    fsoni

    Re : manipuler les matrices sous xilinx

    Comment je peux corriger? car tab est déja de type bit_vector ?

  18. #17
    indri

    Re : manipuler les matrices sous xilinx

    Là tu mets tab qui est 9 bit dans une case de matrix de 1 bit....donc soit tu défini tab en 1 bit soit chaque case de matrix en 9 bit soit tu ne sélectionne qu'un bit de tab

    Code:
    type matrix is array(0 to 4,0 to 4) of bit_vector (0 to 7);
    ou
    tab : in bit;
    ou
    mat(i1,j1)<= tab((i1*2)+j1) ;
    arf c'est bien la soluce 3 que tu fais en fait...autant pour moi, donc j'ai dit des conneries
    i1 et j1 integer?
    Là où va le vent...

  19. #18
    fsoni

    Re : manipuler les matrices sous xilinx

    oui i et j sont integer mais Non cette ligne de code
    Code:
     mat(i1,j1)<= tab((i1*2)+j1) ;
    ne veut pas dire que je mets "tab qui est 9 bit dans une case de matrix de 1 bit" parce que lorsque i=0 et j=0 alors ça donne mat(0,0)<=tab(0) càd une case de 1 bit va recevoir une case de 1 bit aussi voila le bout de code
    Code:
     mat(i1,j1)<= tab((i1*NC)+j1) ; 
        if j1 < 4 then 
          j1 <= j1+1;
        else
          j1 <= 0;
          if i1 < 4 then
            i1 <= i1+1;
          else
            i1 <= 0;
          end if;
        end if;

  20. #19
    indri

    Re : manipuler les matrices sous xilinx

    oui j'ai dit que j'ai dit une connerie mais je l'ai pas corrigée
    si tu met des std_logic(_vector) ca va pas mieux?
    Là je vois pas trop le prob
    Là où va le vent...

  21. #20
    fsoni

    Re : manipuler les matrices sous xilinx

    merci infiniment indri, le prob est résolu

  22. #21
    indri

    Re : manipuler les matrices sous xilinx

    En remplacant par les std?
    Et ben tant mieux...on m'avais dit pdt mes études qu'il valait mieux utilisé les std_logic qu'autre chose mais j'ai jamais compris pourquoi..
    D'ailleurs là je comprend pourquoi ca marche pas avec les bits
    Si quelqu'un peut nous faire briller de son savoir...^^
    Là où va le vent...

  23. #22
    fsoni

    Re : manipuler les matrices sous xilinx

    Salut,
    je veux construire un package en VHDL qui comprend des variables non constant (C1 et R1) voila mon code
    Code:
    package type_pkg is
    
    constant C1:  integer:=8;
    constant R1 : integer :=2;
     type matrix is array(0 to (R1-1),0 to (C1-1)) of bit;
    end package type_pkg;
    je veux que R1 et C1 ne soient pas constant, comment je peux modifier ce bout de code? merci

  24. #23
    fsoni

    Re : manipuler les matrices sous xilinx

    SVP lorsque j'ouvre le ModelSim à partir de xilinx en cliquant sur Simulate behavioral model il m'affiche un msg d'erreur :
    Unable to checkout a viewer license necessary for use of the ModelSim graphical user interface.Vsim is closing
    qu'est ce que je dois faire ? merci

  25. #24
    indri

    Re : manipuler les matrices sous xilinx

    A priori, acheter la licence ^^
    Là où va le vent...

  26. #25
    fsoni

    Re : manipuler les matrices sous xilinx

    slt ,
    j'ai une matrice A de type
    Code:
    type matrix is array(0 to 4,0 to 4) of bit
    signal A :matrix;
    la ligne est désigné par A(0), je veux savoir comment désigner la colonne dans cette matrice A en VHDL?

  27. #26
    jiherve

    Re : manipuler les matrices sous xilinx

    bonjour,
    pour pointer la ligne l et la colonne C : A(l)(c).
    JR
    l'électronique c'est pas du vaudou!

  28. #27
    fsoni

    Re : manipuler les matrices sous xilinx

    pour pointer seulement la colonne ?

  29. #28
    fsoni

    Re : manipuler les matrices sous xilinx

    bnj JR,
    SVP je veux savoir quel valeur je dois accorder à clk_période(la période du clock) en générant le testbench dans xilinx ISE, ça dépend du quoi ?

Discussions similaires

  1. Comment manipuler les eproms ?
    Par chris51200 dans le forum Électronique
    Réponses: 1
    Dernier message: 25/02/2010, 09h41
  2. Questions sur les entrées/sorties FPGA Xilinx
    Par invite0bef94e7 dans le forum Électronique
    Réponses: 6
    Dernier message: 02/01/2010, 13h12
Découvrez nos comparatifs produits sur l'informatique et les technologies.