Bonjour:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
---------------------------------------
entity test is
port(
a : out std_logic :='0'
);
end entity;
---------------------------------------
architecture arch of test is
signal pulse : std_logic :='0';
---------------------------------------
begin
---------------------------------------
numero1 : process
begin
wait for 10 ns;
pulse <= '1';
wait for 10 ns;
pulse <= '0';
wait;
end process numero1;
---------------------------------------
numero2 : process
begin
wait until pulse'event and pulse='1';
a<='1' after 4 ns;
wait for 24 ns;
a<='0';
wait;
end process numero2;
---------------------------------------
end arch;
Pourriez-vous m'expliquer comment avoir un signal sinusoïdal en sortie sur a, plutôt que un signal logique, après la gâchette pulse ?
Le FPGA peut-il traîter de l'analogique, ou ne fonctionne-t-il qu 'en numérique, c'est-à-dire qu'à sa sortie, peut-on avoir des valeurs intermédiaire, entre 0 et 1, qui nous permettraient donc de créer un signal sinuoïdal ?
Comment déclarer 'a' dans ce cas là (std_logic,...) ?
Cordialement
DELALIN Ambroise
-----