sinus_vhdl
Répondre à la discussion
Affichage des résultats 1 à 5 sur 5

sinus_vhdl



  1. #1
    elec_cup
    Invité

    sinus_vhdl


    ------

    Code:
    library ieee;
    use ieee.std_logic_1164.all;
    
    package sine_package is
    
      constant max_table_value: integer := 127;
      subtype table_value_type is integer range 0 to max_table_value;
    
      constant max_table_index: integer := 127;
      subtype table_index_type is integer range 0 to max_table_index;
    
      subtype sine_vector_type is std_logic_vector( 7 downto 0 );
    
      function get_table_value (table_index: table_index_type) return table_value_type;
    
    end;
    
    package body sine_package is
    
      function get_table_value (table_index: table_index_type) return table_value_type is
        variable table_value: table_value_type;
      begin
        case table_index is
          when 0 =>
            table_value := 1;
          when 1 =>
            table_value := 2;
          when 2 =>
            table_value := 4;
    ...
    ...
    ...
    when 127 =>
            table_value := 127;
        end case;
        return table_value;
      end;
    
    end;
    Puis-je avoir une explication de ces lignes de code ?
    ==> Je n'ai jamais fais de sinus !!
    La rom est-elle utilisée ? Comment fonctionnent les mémoires ?

    Cordialement

    DELALIN Ambroise

    -----
    Dernière modification par Jack ; 20/04/2011 à 11h00. Motif: C'est quand même plus présentable avec les balises code

  2. #2
    Jack
    Modérateur

    Re : sinus_vhdl

    ca ressemble à l'implémentation d'une rom sinus, une lookup table. Le principe est d'éviter les calculs de la fonction y = sin(x), où x représente l'adresse de la mémoire et y la donnée contenue à cette adresse.

    A+

  3. #3
    pazcal

    Re : sinus_vhdl

    C'est pas que une ressemblance
    A plus

  4. #4
    jiherve

    Re : sinus_vhdl

    Bonsoir,
    ceci dit la méthode utilisée pour générer la table est primitive!
    JR
    l'électronique c'est pas du vaudou!

  5. A voir en vidéo sur Futura
  6. #5
    stefjm

    Re : sinus_vhdl

    Citation Envoyé par jiherve Voir le message
    ceci dit la méthode utilisée pour générer la table est primitive!
    Bonsoir,
    M'enfin! une vrai méthode industrielle qui marche.
    Moi ignare et moi pas comprendre langage avec «hasard», «réalité» et «existe».

Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...