en vhdl: wait n'est pas synthetisable
Répondre à la discussion
Affichage des résultats 1 à 3 sur 3

en vhdl: wait n'est pas synthetisable



  1. #1
    invitefa544961

    en vhdl: wait n'est pas synthetisable


    ------

    bonjour,

    j'ai un problème un peu particulier en vhdl, en fait j'ai fait le fichier test bench dans lequel j'ai besoin d'assigner à un signal une valeur bien particulière pendant une periode bien determiné et qui est inférieure à la periode d'horloge
    donc dans le test bench ,il suffit de faire par exemple

    clk <= '0'; wen <= '1'; wait for 25 NS; wen<= '0'; wait for 25 NS;
    clk <= '1'; wait for 50 NS;

    et la simulation marche à merveille, mais comme vous le savez, "wait" n'est pas synthétisable, alors que ,je veux implémenter mon design sur FPGA. donc le problème ,c'est comment diviser l'horloge du design pour que la valeur "wen" soit egale à 0 pendant un quart d'horloge et 1 pendant un quart d'horloge???

    merci d'avance....

    -----

  2. #2
    inoxxam

    Re : en vhdl: wait n'est pas synthetisable

    Citation Envoyé par mariem2 Voir le message
    [...]assigner à un signal une valeur bien particulière pendant une periode bien determiné et qui est inférieure à la periode d'horloge[...]
    Salut,
    C'est absolument impossible. VHDL ou non. Par construction dans un système numérique synchrone la période d'horloge c'est le plus petit intervalle de temps entre deux événement.
    Il faudrait donc que tu revoies la conception de ton système avec une horloge plus élevée.

  3. #3
    jiherve

    Re : en vhdl: wait n'est pas synthetisable

    Bonsoir,
    si le FPGA ne dispose pas d'une PLL capable de générer une horloge a 4*F cela n'est pas possible.
    D'une façon générale je constate que de nombreux code VHDL posté sur ce forum ne sont pas synthétisables, quoique certains le pensent le VHDL ce n'est pas du soft, il y a une réalité physique derrière, donc essayez de comprendre ce que signifie "wait" ou "transport" et cela ira bien mieux.
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. Vhdl- Wait until ?
    Par Rdoume dans le forum Électronique
    Réponses: 5
    Dernier message: 09/02/2011, 10h35
  2. Ce n'est pas la même question ne supprimez pas ce msg SVP!!!
    Par inviteb2524687 dans le forum Santé et médecine générale
    Réponses: 1
    Dernier message: 08/04/2009, 11h50
  3. triglycérides synthétisable
    Par invite97d810f4 dans le forum Chimie
    Réponses: 8
    Dernier message: 12/01/2007, 08h09
Découvrez nos comparatifs produits sur l'informatique et les technologies.