[VHDL] - Compteur N bits et modulo - generic
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

[VHDL] - Compteur N bits et modulo - generic



  1. #1
    Baboush93

    Post [VHDL] - Compteur N bits et modulo - generic


    ------

    Bonjour,

    J'aimerais écrire un code VHDL permettant d'obtenir un compteur N bits fixé par défaut à 4 et un modulo fixé par défaut à 10.

    Le problème est le suivant, lorsque je fais mon code generic dans l'entity le mot modulo n'est pas reconnu dans mon architecture, du coup j'ai une syntaxe error:

    Code:
    ERROR:HDLCompiler:839 - "generic_count.vhd" Line 46. Type std_logic_vector does not match with the integer literal
    ERROR:HDLCompiler:607 - "generic_count.vhd" Line 46. Multiple declarations of ">=" included via multiple use clauses; none are made directly visible
    Voici mon code VHDL:

    Code:
    entity generic_count is
    generic ( bits :	 integer :=4;
    			 modulo:  integer :=10);
    			 
        Port ( Enable : in  STD_LOGIC;
               Clock : in  STD_LOGIC;
               Q : out  STD_LOGIC_VECTOR (bits-1 downto 0));
    end generic_count;
    
    architecture Behavioral of generic_count is
    signal counter : std_logic_vector (bits-1 downto 0) :=x"0";
    signal r : std_logic;
    begin
    	process (Clock)
    		begin
    	if (counter >= modulo) then counter <= 0 ;
    	end if;
    		if (Clock='1' and Clock'event and Enable='1')
    		then counter <= counter + 1;
    		end if;
    	end process;
    	
    	Q<= counter;
    
    end Behavioral;
    Je vois pas comment modifier ce code pour qu'il fonctionne, pouvez-vous m'aider ?

    Je vous en remercie.

    -----

  2. #2
    jiherve

    Re : [VHDL] - Compteur N bits et modulo - generic

    Bonsoir,
    avec
    if (unsigned(counter) >= modulo) then
    et en utilisant la librairie ieee.numeric_std declarée comme ci dessous en tête du fichier:
    library IEEE;
    use IEEE.std_logic_1164.all;
    use IEEE.numeric_std.all;


    Cela devrait le faire.
    Le VHDL est un langage typé.
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. VHDL : Conversion 16 bits vers 32 bits
    Par gregdrck5 dans le forum Électronique
    Réponses: 1
    Dernier message: 09/10/2011, 20h34
  2. le modulo du compteur
    Par physic___ dans le forum Électronique
    Réponses: 5
    Dernier message: 30/05/2011, 09h09
  3. Compteur modulo 24 et 60
    Par invitefc343bc7 dans le forum Électronique
    Réponses: 14
    Dernier message: 01/03/2009, 18h27
  4. compteur modulo 5 JK
    Par invite0d8a6ed5 dans le forum Électronique
    Réponses: 18
    Dernier message: 11/03/2008, 21h56
  5. [VHDL] Compteur Modulo N
    Par invitef9e6be50 dans le forum Électronique
    Réponses: 3
    Dernier message: 26/01/2007, 19h53
Découvrez nos comparatifs produits sur l'informatique et les technologies.