Bonjour,

j'ai mis les librairies :

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;

REGaddress(conv_integer(curren tADDreg))(0) <= ST2inDATA;

Le compilateur ne connaît pas conv_integer?

currentADDreg est du type signal std_logic.

type regADDRtype is array (2 downto 0) of std_logic_vector(7 downto 0);
type DOUBLEregADDRtype is array (1 downto 0) of regADDRtype;
signal REGaddress: DOUBLEregADDRtype;

ST2inDATA : in std_logic_vector(7 downto 0);

Cordialement,

DELALIN Ambroise.