description vhdl et compteur
Répondre à la discussion
Affichage des résultats 1 à 11 sur 11

description vhdl et compteur



  1. #1
    mathy11

    description vhdl et compteur


    ------

    Bonjour tout le monde ,
    Lors de l'étude du langage vhdl j'ai rencontré plusieurs confusions , voilà quelque uns :
    - la difference entre l'utilisation de & et and ?
    - pour un compteur en utilisant l'instruction :Q<=Q+1 avec Q la sortie du compteur est elle exprimé en nombre ( 1,2,6..) sachant que je l'ai déclaré autant que out std_logic vector (3 down to 0)?
    -est ce qu'on peut utiliser when et if à chaque voit on veut quelque soit la description vhdl utilisée?
    -Pour les descriptions vhdl qui éxistent (comportemental, flot de données , structurel ) j'arrive pas à voir la différence pourtant j'avais bien cherché sur net ?

    j'ai posé trop de questions , je compte vraiment sur vous pour m'aider
    la réponse à une ou deux ou "-----" questions me suffira

    -----

  2. #2
    jiherve

    Re : description vhdl et compteur

    Bonsoir,
    & c'est une concaténation : ex A(15 downto 0) <= (B(3 downto 0) & C(11 downto 0));
    signifie que A = (B(3),B(2),B(1),B(0), C(11), C(10), ...,C(0))
    And est un "et" logique
    Si Q est de type std_logic_vector et en n'utilisant que les librairies usuelle (std_logic, numeric_std) il faut ecrire Q <= std_logic_vector(unsigned(Q) +1);
    When et if sont légaux si utilisés à bon escient, when dans un case englobé dans un process , if englobé dans un process.
    La différence entre le comportemental et le structurel est que le premier n'est pas forcement synthétisable.
    JR
    Dernière modification par jiherve ; 08/06/2012 à 19h58.
    l'électronique c'est pas du vaudou!

  3. #3
    stefjm

    Re : description vhdl et compteur

    Bonsoir,
    When s'utilise aussi lors d'affectation parallèle conditionnelle. (hors process)
    A<='1' when (condition);

    Cordialement.
    Moi ignare et moi pas comprendre langage avec «hasard», «réalité» et «existe».

  4. #4
    jiherve

    Re : description vhdl et compteur

    Bonsoir,
    oui en effet, je l'avais omis.
    shame on me.
    JR
    l'électronique c'est pas du vaudou!

  5. A voir en vidéo sur Futura
  6. #5
    stefjm

    Re : description vhdl et compteur

    Citation Envoyé par jiherve Voir le message
    Bonsoir,
    oui en effet, je l'avais omis.
    shame on me.
    JR
    Il ne faut pas...T'avais déjà répondu à tout quand j'ai lu le fil!
    Je répond très souvent à la question : "quelle différence entre if et when."

    @+
    Moi ignare et moi pas comprendre langage avec «hasard», «réalité» et «existe».

  7. #6
    mathy11

    Re : description vhdl et compteur

    Merci infiniment
    S'il vous plait , pour la différence entre les descriptions ,vous pouvez détaillé un peu : Pour les descriptions , j'ai remarqué qu'ils utilisent des instructions pareils ! , est ce qu'il y a des commandes et des instructions qui caractérisent chaque description et la différencie de l'autre !
    je veux écrire un programme en flot de données ,et un autre en flot de données alors je tombe dans la confusion , j'arrive à écrire un seul qui est pour moi le même pour les deux
    Aidez moi et MERCI

  8. #7
    jiherve

    Re : description vhdl et compteur

    Bonsoir
    je veux écrire un programme en flot de données ,et un autre en flot de données alors je tombe dans la confusion , j'arrive à écrire un seul qui est pour moi le même pour les deux
    a priori c'est du pareil au même.
    JR
    l'électronique c'est pas du vaudou!

  9. #8
    mathy11

    Re : description vhdl et compteur


    Désolé je voulais dire un programme écrit en flot de donnée ,et le même programme en comportemental ainsi que structurelle , je tombe en confusion

  10. #9
    jiherve

    Re : description vhdl et compteur

    Re
    je ne sais pas vraiment ce que tu nommes un programme en flot de données.
    JR
    l'électronique c'est pas du vaudou!

  11. #10
    mathy11

    Re : description vhdl et compteur

    je veux dire une description écrite en comportementale et une autre écrite en flot de donnés quelle est la différence ??

  12. #11
    jiherve

    Re : description vhdl et compteur

    Bonsoir,
    si ce que tu nommes flots de données est identique à ce qui est decrit ici alors il n'y a pas vraiment de rapport avec une description comportementale car cette dernière peut tout aussi bien qu'une description RTL decrire une machine de ce type.
    Cela ne se situe pas au même niveau conceptuel.
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. [VHDL] - Compteur N bits et modulo - generic
    Par Baboush93 dans le forum Électronique
    Réponses: 1
    Dernier message: 24/11/2011, 21h40
  2. compteur/decomteur en VHDL
    Par cherwam07 dans le forum Électronique
    Réponses: 20
    Dernier message: 28/01/2009, 08h24
  3. Aide pour compteur en VHDL
    Par inviteca665004 dans le forum Électronique
    Réponses: 12
    Dernier message: 10/03/2008, 21h32
  4. [VHDL] Compteur Modulo N
    Par invitef9e6be50 dans le forum Électronique
    Réponses: 3
    Dernier message: 26/01/2007, 19h53
  5. compteur vhdl
    Par inviteacb3e291 dans le forum Électronique
    Réponses: 1
    Dernier message: 15/11/2006, 10h31
Découvrez nos comparatifs produits sur l'informatique et les technologies.