code avec xilinx
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

code avec xilinx



  1. #1
    fsoni

    code avec xilinx


    ------

    Salut,
    Je viens d'écrir le code si-dessous sur ModelSim (avec VHDL), puis en le mettant dans xilinx project navigator, il me retourne des erreurs inattendus, quelqu'un peut m'aider SVP ou si vous avez les régles sur xilinx envoyer moi un lien
    Code:
    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;
    use ieee.std_logic_unsigned.all;
    package type_pkg is
     type matrix is array(0 to 2,0 to 2) of bit;
    end package type_pkg;
    
    use work.type_pkg.all;
    entity matrice is
     port (
      a:in std_logic;
      tab : in bit_vector(0 to 8);
      tab2: out bit_vector(0 to 8);
     clk : in integer;
    reset:in integer
      );
    end  matrice;
     
    architecture arch_matrice of matrice is
      signal mat: matrix;
      signal mat2: matrix;
      signal  i,j : integer range 0 to 2;
     
    begin 
    process(reset,clk,mat,tab)
    begin
       if (reset = '1') then 
        mat <= (others => (others =>'0')); 
        i <= 0;
        j <= 0;
        tab2 <= (others =>'0');
       elsif (clk'event and clk= 1)then
         
         mat(i,j)<= tab(i*3+j) ; 
        if j < 2 then 
          j <= j+1;
        else
          j <= 0;
          if i < 2 then
            i <= i+1;
          else
            i <= 0;
          end if;
        end if;
      end if;
     
    end process;
    end arch_matrice;
    il m'affiche des erreurs dans la ligne
    Code:
     mat <= (others => (others =>'0'));
    aussi il n'accepte pas la boucle if
    de plus il n'accepte pas l'affectation suivante(affectation à une matrice à partir d'un tableau :
    Code:
    mat(i,j)<= tab(i*3+j) ;
    Rep SVP

    -----

  2. #2
    ingegnere

    Re : code avec xilinx

    Salut,

    il faut écrire : mat<=(others=>'0');
    pour le IF : (clk'EVENT AND clk = '1') tu as oublié ' ' pour le 1
    l'autre perso, j'ai jamais fais une affectation à une matrice, je ne peux pas t'aider.
    Dernière modification par ingegnere ; 05/02/2013 à 15h21.

Discussions similaires

  1. code interleaver avec BER avec Matlab
    Par fsoni dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 14/01/2013, 13h04
  2. Un langage codé léger, 2 caractères non codé=1 caractère codé. Une solution?
    Par invite06e0b926 dans le forum Mathématiques du supérieur
    Réponses: 1
    Dernier message: 30/06/2010, 10h02
  3. interface en logique câblée avec xilinx
    Par invitec17d10f9 dans le forum Électronique
    Réponses: 4
    Dernier message: 11/04/2010, 22h12
  4. Compiler CPU avec Xilinx Webpack
    Par invite20791c0c dans le forum Électronique
    Réponses: 3
    Dernier message: 23/10/2009, 09h28
  5. Programmateur Xilinx
    Par invited60b6f1f dans le forum Électronique
    Réponses: 1
    Dernier message: 06/11/2007, 18h42
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...