salut,
je viens d'écrir ce simple code mais le code me fait sortir des erreurs au niveau de la déclaration de la variable "N" qui doit étre modifié à l'intérieur du process
voila le code
voila l'erreur dans les lignes (N <= 192, N <= 384, N <= 768, N <= 1152) il m'affiche que N n'est pas un signal, et si je la met comme signal elle sera non définit dans tab !!!Code:library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity code is generic (N : integer:=192); port ( tab : in bit_vector(0 to (N-1)); clk:in std_logic ); end code ; architecture arch_code of code is type string is array (positive range <>) of character; SIGNAL modulation : STRING (6 downto 1); signal s:integer; begin process(clk) begin if (clk'event and clk='1')then case modulation is when "BPSK" => N <= 192; s <= 1; when "QPSK" => N <= 384; s <= 2; when "16QAM" => N <= 768 ; s <= 4; when "64QAM" => N <= 1152 ; s <=6; when others => null; end case; end process; end arch_code ;
-----