registre
Répondre à la discussion
Affichage des résultats 1 à 16 sur 16

registre



  1. #1
    invitea8e20780

    registre


    ------

    bsr

    pour faire un registre a bascule il faut une une frequence adapté pour pas que toutes les sortis sont equivalentes?

    -----

  2. #2
    DAUDET78

    Re : registre

    Texte incompréhensible .......
    J'aime pas le Grec

  3. #3
    invitea8e20780

    Re : registre

    bonsoir


    est ce qu'il faut une frequence particuliere pour faire un registre avec des bascules
    parce que j'ai fait une simulation avec un signal d'horloge de frequence reduite et toutes les sortis Qi sont equivalentes
    voila j'espere que c'est plus clair

  4. #4
    vincent66

    Re : registre

    Bonsoir,
    Question toujours aussi incompréhensible, un petit schéma..?
    Leonardo était ingénieur "sans papier", et moi diplômé juste...technicien...

  5. A voir en vidéo sur Futura
  6. #5
    DAUDET78

    Re : registre

    La fréquence d'horloge n'a aucune importance sur le fonctionnement séquentiel d'un registre, compteur ou autres . A condition qu'elle ne soit pas trop élevée pour tenir compte des temps de propagation.
    Tu utilises quel registre 74HCxxx avec quelle fréquence? C'est quoi ton schéma ?
    J'aime pas le Grec

  7. #6
    invitea8e20780

    Re : registre

    bonsoir

    j'ai mis deux bascules D en cascade
    quand D passe a 1 Q1 et Q2 passent a 1
    je ne vois pas comment memoriser un nombre


    Nom : registre.GIF
Affichages : 75
Taille : 89,2 Ko

  8. #7
    Jack
    Modérateur

    Re : registre

    Ca dépend du type de registre que tu veux obtenir et qu'on ne connait toujours pas ...

  9. #8
    Jack
    Modérateur

    Re : registre

    De plus, pourquoi ne pas utiliser des bascules D toutes faites?

  10. #9
    DAUDET78

    Re : registre

    Citation Envoyé par mayabe Voir le message
    j'ai mis deux bascules D en cascade
    Non ... des bascules RS ... et ça ne marche pas !
    J'aime pas le Grec

  11. #10
    jiherve

    Re : registre

    Bonsoir,
    En fait une bascule D fonctionnant sur front ce sont déjà 2 bascules!
    http://webpages.charter.net/dawill/t..._Flipflop.html
    JR
    Dernière modification par jiherve ; 12/06/2013 à 20h39.
    l'électronique c'est pas du vaudou!

  12. #11
    invitea8e20780

    Re : registre

    re


    c'est pour faire un registre a decalage afin de memoriser un nombre binaire
    normalement il y a 4 bascules que je n'ai pas mise pour ne pas alourdir le schema
    et effectivement il y a erreur sur le schema mais avec des bascules D ça marche pas non plus
    alors je me demande si ce n'est pas la frequence qui fait que toutes les sorties sont a 1
    d'ou impossibilité de memoriser un nombre

    Nom : registre a decalage.GIF
Affichages : 65
Taille : 20,5 Ko

  13. #12
    jiherve

    Re : registre

    Re
    plutot que de vouloir réinventer l'eau tiède utilise de VRAIES bascules D et là miracle.
    JR
    l'électronique c'est pas du vaudou!

  14. #13
    Biname

    Re : registre

    Citation Envoyé par jiherve Voir le message
    Re
    plutot que de vouloir réinventer l'eau tiède utilise de VRAIES bascules D et là miracle.
    JR
    Laissez les débutants débuter !

  15. #14
    DAUDET78

    Re : registre

    Citation Envoyé par Biname Voir le message
    Laissez les débutants débuter !
    OK, mais il est en train de ré-inventer la bascule D maitre-esclave avec un schéma qui ne marche pas (il faut différentier le front montant et le front descendant d'horloge) . Alors, il accuse des problèmes de vitesse d'horloge qui n'ont rien à voir ici. Le schéma est bidon.
    Quant à lui donner un schéma qui marche, avec des portes logiques, c'est une usine à gaz ! Autant qu'il prenne des 74xx74 ou des CD4013 . Il aura un circuit de base qui marche et il pourra cogiter son registre .
    J'aime pas le Grec

  16. #15
    jiherve

    Re : registre

    Bonsoir,
    Citation Envoyé par Biname Voir le message
    Laissez les débutants débuter !
    Moi il y a 45 ans j'ai débuté en faisant mes bascules avec des transistors, et mes decodages avec des diodes, horloge par UJT, ce fut formateur mais il faut savoir vivre avec son temps!
    Plus personne ne grave de cunéiforme avec un calame dans l'argile!
    JR
    l'électronique c'est pas du vaudou!

  17. #16
    invitea8e20780

    Re : registre

    bonsoir

    je vais suivre vos conseils et essayer avec des vrais bascules apres tout ça doit etre plus simple
    merci pour votre aide

Discussions similaires

  1. transfert d'un registre A vers un registre B
    Par invite5160fed5 dans le forum Électronique
    Réponses: 2
    Dernier message: 04/01/2013, 19h38
  2. Registre TMR0L
    Par inviteec8d8fa2 dans le forum Électronique
    Réponses: 8
    Dernier message: 29/01/2012, 22h57
  3. registre universel
    Par invitefb0f71f3 dans le forum Électronique
    Réponses: 7
    Dernier message: 27/01/2010, 18h24
  4. registre
    Par invite6fc91cc2 dans le forum Électronique
    Réponses: 12
    Dernier message: 05/01/2008, 22h53
  5. registre a memoire
    Par invitef1b6c776 dans le forum Électronique
    Réponses: 29
    Dernier message: 22/03/2007, 19h49
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...