Signal rectangulaire avec rapport cyclique de 0.92 - Page 2
Répondre à la discussion
Page 2 sur 2 PremièrePremière 2
Affichage des résultats 31 à 42 sur 42

Signal rectangulaire avec rapport cyclique de 0.92



  1. #31
    portoe

    Re : Signal rectangulaire avec rapport cyclique de 0.92


    ------

    Excuse moi, je me suis pas relu, pour programmer j'ai ce qu'il faut.

    Par contre Jack de quelle bete tu parle? Tu parle du MachXO2 7000HE??

    Pour la synchro, je comptais la faire après avoir convertis les deux signaux vidéo en signaux carré.

    -----

  2. #32
    invite14532198711
    Invité

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Dans ce cas, oui il faudra un micro contrôleur pour faire le boulot.

    ça veut dire quoi "convertis les deux signaux vidéos en signaux carré" ?

    Tu comptes numériser les 2 vidéos, déterminer leurs synchro respectives, gérer l'assynchronisme (LAR, FIFO...) et synthétiser une synchro ?
    Quand je dis synchro, c'est évidemment les 2, ligne et trame parceque la synchro ligne seule ne sert à rien...

  3. #33
    PIXEL

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    OUTCH !!! là y'a du taf...... avec mémoire de trame et toussa.
    Dernière modification par PIXEL ; 13/04/2015 à 17h45.

  4. #34
    invite14532198711
    Invité

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Hé oui, on a rien sans rien mon bon monsieur ! avant, au mieux ils avaient une horloge commune (genre le 50Hz), au pire des lignes à retards, maintenant on des ensemble de silicium !

    Mais au fait, j'ai peut être raté des posts, mais le besoin c'est quoi exactement ? parceque mixer 2 images, je ne vois pas trop l'application en faite.

    faire de l'incrustation de texte, ok,
    obtenir plusieurs images sur la même trame (genre vidéo surveillance) ok aussi,
    mais 2 images ensembles ?

  5. #35
    portoe

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Oui c'est ca numériser les deux signaux.

    Je voudrais que le signal carré qui est une fréquence 15,625 kHz et qu'il soit au niveau bas pendant 4.7µs et j'aimerais faire en utilisant le composant programmable de chez Lattice: MachX02 7000HE.
    Dernière modification par portoe ; 13/04/2015 à 17h52.

  6. #36
    Jack
    Modérateur

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    C'est sur que synchroniser 2 sources video, c'est autre chose.

  7. #37
    invite14532198711
    Invité

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Tu parles des signaux de synchro ou des signaux vidéos ?? c'est très différent, les un sont binaires (actif/inactif) et nécessitent que peu de composants pour obtenir un carré exploitable par un composant complexe (0-5V ou 0-3V3), les autres sont analogiques (sauf si la source est HDMI/DVI, ce qui m'étonnerait à la vue du 15625Hz).

    Vu la fréquence, ça ressemble à du CVBS basique, donc analogique. Si c'est du RGB ça peut aller, si c'est du composite il faut un convert de qualité pour respecter les rotations de phases (la couleur est codée en rotation de phase en PAL/NTSC notamment).

    Si c'est du SECAM ; ce qui est le meilleur format qu'il soit possible d'avoir sur un seul fil ; il faut mémoriser 2 trames, il y a une notion de paire et impaire, et là ça se complique...

  8. #38
    Jack
    Modérateur

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Citation Envoyé par portoe Voir le message

    Par contre Jack de quelle bete tu parle? Tu parle du MachXO2 7000HE??
    Oui, donc, tu fais comment pour le souder?

  9. #39
    jiherve

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Bonsoir,
    Si les deux sources vidéo sont synchronisables par réception d'un signal externe, il faudra au minimum générer un vrai signal de synchronisation ce qui suppose l'existence de la séquence de synchronisation trame. Si cela n'est pas le cas il faudra réaliser deux genlock pour pouvoir numériser les deux vidéos , faire suivre par au moins un FRC, cela suppose une mémoire triple page ou une grosse FIFO(mauvaise solution bien souvent), afin de synchroniser l'une des vidéo sur l'autre.
    Donc un projet couillu.
    JR
    l'électronique c'est pas du vaudou!

  10. #40
    portoe

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Bonjour,
    Désolé pour hier soir, je n'étais pas chez moi.

    alex, pour l'instant, partons sur les signaux synchro, assez simple a faire.

    Jack, je n'est rien a souder, la carte est déjà prête, voilà la photo:
    Nom : téléchargement.jpg
Affichages : 64
Taille : 10,6 Ko

    Je vous remercie de m'aider et de me répondre tout le temps rapidement
    Dernière modification par portoe ; 14/04/2015 à 08h05.

  11. #41
    invite14532198711
    Invité

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Ok, il faudra donc bien imaginer le scenario nécessaire pour traiter ces signaux dans, sans quoi il sera impossible de coder quoi que ce soit pour ta cible...
    c'est quoi le but final exactement ? si c'est pour de l'OSD tu te complique la vie.

    par contre dans ta rédaction merci d'éviter les confusions de et/ai était/été pouvait/pouvez é/è, ça me hérisse en ce moment .

  12. #42
    portoe

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Qu'est ce que l'OSD?

    J'ai pas de but précis en faite.

    Désolé, je n'ai pas pour habitude de me relire, je vais faire gaffe à l'avenir.

Page 2 sur 2 PremièrePremière 2

Discussions similaires

  1. signal carré (rapport cyclique )
    Par voltron90 dans le forum Électronique
    Réponses: 2
    Dernier message: 16/04/2013, 13h01
  2. [ARM] Génération d'un signal: rapport cyclique
    Par invite0bef94e7 dans le forum Électronique
    Réponses: 5
    Dernier message: 25/04/2010, 16h21
  3. signal rectangulaire avec un PIC16f876a
    Par invite638ca161 dans le forum Électronique
    Réponses: 22
    Dernier message: 03/08/2009, 20h26
  4. Création d'un signal carré avec rapport cyclique réglable
    Par invite74b73858 dans le forum Électronique
    Réponses: 6
    Dernier message: 22/09/2006, 21h05
  5. Comment décaler un signal carré à rapport cyclique variable?
    Par car_rod dans le forum Électronique
    Réponses: 19
    Dernier message: 27/11/2003, 09h18
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...