Signal rectangulaire avec rapport cyclique de 0.92
Répondre à la discussion
Page 1 sur 2 1 DernièreDernière
Affichage des résultats 1 à 30 sur 42

Signal rectangulaire avec rapport cyclique de 0.92



  1. #1
    invite7f94c3e8

    Signal rectangulaire avec rapport cyclique de 0.92


    ------

    Bonjour,

    J'aurais besoin d'aide, j'ai un signal carré avec une féquence de 15 625 Hz et je voudrais le transformer pour avoir un signal avec une durée à l'état bas de 4.7µs.

    Le problème c'est que je ne sais pas comment faire et je ne trouve pas grand chose qui m'aide sur le net, pourriez vous me lancer sur une piste s'ils vous plait?

    Merci

    Cordialement

    Portoe

    -----

  2. #2
    PIXEL

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    hello , but de la manip ?

    les soluces dépendront du contexte

  3. #3
    invite7f94c3e8

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Wouha merci de la réponse hyper rapide.

    C'est pour faire une synchro entre plusieurs signaux vidéo.

  4. #4
    PIXEL

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    j'vois pas ce que ça va synchroniser.... bref.

    un monostable rapide genre cd4528 fera le travail

  5. A voir en vidéo sur Futura
  6. #5
    invite7f94c3e8

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Je transforme deux signaux vidéos en un signaux carré et ensuite je les synchronise.

    Merci pour ta réponse, je voudrais faire se monostable moi meme avec des portes logiques, connais tu un site qui peut m'expliquer comment faire?

  7. #6
    DAUDET78

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Citation Envoyé par portoe Voir le message
    je voudrais faire se monostable moi meme avec des portes logiques,
    Masochiste ?
    J'aime pas le Grec

  8. #7
    invite7f94c3e8

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Euh non

  9. #8
    PIXEL

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    un 4528..... 1,5 € au prix fort

    suffit de lire le mode d'emploi !


    http://pdf1.alldatasheet.com/datashe...SC/CD4528.html

  10. #9
    antek

    Re : Signal rectangulaire avec rapport cyclique de 0.92


  11. #10
    invite7f94c3e8

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Merci pour les docs

    C'est pas le problème de l'acheter, c'est que je dois utiliser un composant programmable

  12. #11
    PIXEL

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    allez , la question change déjà , moi ça me gonfle...

    bye

  13. #12
    antek

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Lequel ? Un µC, un ordinateur, un fpga ?
    Pourquoi ne pas utiliser un monostable ?

  14. #13
    PIXEL

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    biscotte c'est un devoir à faire , et que le monsieur veut éviter la honte...


    sans moi !

  15. #14
    invite7f94c3e8

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Oh du calme, j'ai rien fais de mal.

    La question ne change pas, je recherche juste un moyen de transformer un signal carré pour avoir signal avec une durée à l'état bas de 4.7µs. Ma seule erreur c'est de mal connaitre l'électronique mais désolé je suis débutant, il faut bien que j'apprenne!

    Tu m'as dis que je devais utiliser un monostable, j'ai juste demandé si je pouvais faire un monostable avec des portes logiques.

    Maintenant si ca t'ennuie d'aider les gens bah ne les aide pas.
    Dernière modification par Antoane ; 13/04/2015 à 19h06. Motif: Vocabulaire.

  16. #15
    PIXEL

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    j'ai aidé : 4528...... qui répond à la question #1

    maintenant , si tu veux utiliser une soluce de 1950 , c'est ton affaire.

    bien que le 4528 ne soit pas récent

  17. #16
    invite7f94c3e8

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    antek, le composant est un MachX02 7000HE de chez Lattice, c'est pour cela que je voudrais utiliser des portes logiques, comme ca je fais mon schéma sur PC et ensuite je le charge sur le composant programmable.

    Pixel pour ton information personnelle, ce n'est pas un devoir à rendre sinon il y aurait un cours auquel j'aurais pu me référer. Certe tu as répondu à ma question et je t'en remercie mais je trouve ton attitude complètement idiote !

  18. #17
    PIXEL

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Citation Envoyé par portoe Voir le message
    Certe tu as répondu à ma question et je t'en remercie mais je trouve ton attitude complètement idiote !
    dans le genre je me contredis pour ne pas perdre la face :

  19. #18
    invite7f94c3e8

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    D'accord Pixel
    Bonne journée...

  20. #19
    Jack
    Modérateur

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Citation Envoyé par portoe Voir le message
    Merci pour les docs

    C'est pas le problème de l'acheter, c'est que je dois utiliser un composant programmable
    Alors l'idéal est un PAL ou un CPLD.

    PS: je n'avais pas vu les nouveau messages. Je n'avais donc pas tort.
    Tu aurais pu le dire plutôt pour ton composant.
    Dernière modification par Jack ; 13/04/2015 à 14h48.

  21. #20
    invite7f94c3e8

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Salut Jack

    Merci pour l'info, connais tu un tuto pour m'aider? Car je n'est jamais entendu parler de cela

  22. #21
    Jack
    Modérateur

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Mais si ce n'est pas un exercice, pourquoi utiliser un tel monstre pour une aussi petite tâche. C'est un peu comme acheter une Ferrari pour aller acheter son pain à la boulangerie du coin ...
    Dernière modification par Jack ; 13/04/2015 à 15h29. Motif: orthographe

  23. #22
    invite7f94c3e8

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Je veux reproduire un chronogramme et j'ai ca sous la main, je trouve plus simple de faire un schéma et le charger plutot que d'acheter le composant, de faire une carte etc..

    Jusqu'à présent je n'est travaillé qu'avec des sinaux carré en entré, je fais des recherches depuis ce matin, je vois que c'est possible mais personne n'explique comment faire.

  24. #23
    PIXEL

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    tout ça est largement traité dans de bon bouquins....

    http://www.amazon.fr/Circuits-logiqu...+programmables

  25. #24
    Jack
    Modérateur

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Citation Envoyé par portoe Voir le message
    Je veux reproduire un chronogramme et j'ai ca sous la main, je trouve plus simple de faire un schéma et le charger plutot que d'acheter le composant, de faire une carte etc..

    Jusqu'à présent je n'est travaillé qu'avec des sinaux carré en entré, je fais des recherches depuis ce matin, je vois que c'est possible mais personne n'explique comment faire.
    Le problème c'est que tu distilles l'information.
    Pourquoi ne pas avoir dit tout de suite que ton problème était de programmer un FPGA?

  26. #25
    Jack
    Modérateur

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Possèdes-tu de quoi le programmer et de quoi le souder?

  27. #26
    invite7f94c3e8

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Je ne connaissais pas les FPGA.

    Non, je n'est rien pour souder.
    Pour programmer c est ce qu'il faut.

  28. #27
    Jack
    Modérateur

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Pour programmer c est ce qu'il faut.
    Je ne comprends pas trop ce que tu veux dire.

    Non, je n'est rien pour souder.
    Je signale en passant, qu'à priori, il faudra un four à refusion pour souder la bête. On peut avoir une photo de celle-ci.

  29. #28
    jiherve

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Bonsoir
    Si le signal à 15,625 kHz existe déjà et est obtenu par division de la fréquence d'un quartz alors un monostable suffit pour generer le top ligne par contre s'il faut aussi générer ce 15,625 kHz alors il faut faire çà par comptage car la précision en fréquence du signal ligne doit être de 200ppm pour répondre au spécifications PAL/SECAM.
    La solution du PLD/FPGA n'est pas mauvaise, un petit µC ayant un timer 8 bits le fera aussi.
    JR
    l'électronique c'est pas du vaudou!

  30. #29
    invite14532198711
    Invité

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    Hello,

    un HC4538 fait très bien le boulot de synchro ligne pourvu qu'on lui colle une capa qui n'a pas d'effet tension (mica, papier, polystyrène...).

    Attention, ce n'est pas parceque vous aller générer un nouveau top ligne que ça synchronisera les 2 signaux vidéos.

  31. #30
    PIXEL

    Re : Signal rectangulaire avec rapport cyclique de 0.92

    c'est ce dernier point qui me turlupine

Page 1 sur 2 1 DernièreDernière

Discussions similaires

  1. signal carré (rapport cyclique )
    Par invite43f2fd6c dans le forum Électronique
    Réponses: 2
    Dernier message: 16/04/2013, 13h01
  2. [ARM] Génération d'un signal: rapport cyclique
    Par invite0bef94e7 dans le forum Électronique
    Réponses: 5
    Dernier message: 25/04/2010, 16h21
  3. signal rectangulaire avec un PIC16f876a
    Par invite638ca161 dans le forum Électronique
    Réponses: 22
    Dernier message: 03/08/2009, 20h26
  4. Création d'un signal carré avec rapport cyclique réglable
    Par invite74b73858 dans le forum Électronique
    Réponses: 6
    Dernier message: 22/09/2006, 21h05
  5. Comment décaler un signal carré à rapport cyclique variable?
    Par inviteaf5d5ef2 dans le forum Électronique
    Réponses: 19
    Dernier message: 27/11/2003, 09h18
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...