[Programmation] [VHDL] Compteur N bits, problème de compilation
Répondre à la discussion
Affichage des résultats 1 à 3 sur 3

[VHDL] Compteur N bits, problème de compilation



  1. #1
    samuel oxy

    Post [VHDL] Compteur N bits, problème de compilation


    ------

    bonjour

    j'aimerai faire un compteur decmpteur sur vhdl mais apres simulation le code d,erreur suivant s'affiche


    Error (10327): VHDL error at coudecounter.vhd(29): can't determine definition of operator ""+"" -- found 0 possible definitions

    que puis je faire?
    merci bien

    -----

  2. #2
    Delared

    Re : [VHDL] - Compteur N bits et modulo - generic

    Salut,
    Verifies tes librairies....

  3. #3
    albanxiii
    Modérateur

    Re : [VHDL] - Compteur N bits et modulo - generic

    Bonjour,

    Postez votre code !

    A coup sur vous additionnez deux types différents (genre un entier avec un std_logic_vector ou un unsigned... il y a des fonctions de conversion à utiliser pour faire cela. La lecture du package numeric_std est très intéressante).

    @+
    Not only is it not right, it's not even wrong!

Discussions similaires

  1. [Programmation] VHDL - code compteur - compilation OK - Simulation
    Par philouxy dans le forum Électronique
    Réponses: 3
    Dernier message: 08/09/2016, 11h15
  2. [Numérique] problème de compteur binaire 4 bits
    Par jefrando dans le forum Électronique
    Réponses: 27
    Dernier message: 24/01/2016, 09h52
  3. Problème VHDL compteur synchrone
    Par justind1989 dans le forum Électronique
    Réponses: 22
    Dernier message: 17/10/2014, 11h04
  4. [VHDL] - Compteur N bits et modulo - generic
    Par Baboush93 dans le forum Électronique
    Réponses: 1
    Dernier message: 24/11/2011, 21h40
  5. VHDL : Conversion 16 bits vers 32 bits
    Par gregdrck5 dans le forum Électronique
    Réponses: 1
    Dernier message: 09/10/2011, 20h34
Découvrez nos comparatifs produits sur l'informatique et les technologies.