ligne à retard ou registre à décalage
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

ligne à retard ou registre à décalage



  1. #1
    invite6f67057b

    bonjour et merci de me lire,

    Je suis à la recherche d'un registre à décalage de 64 bits ou plus ou d'une ligne à retatd de façon à retarder de 10 micros secondes des signaux de forme logique ou vidéo le temps de mettre en route un petit emetteur.
    Si vous avez des refférences, elles seront les bienvenues.
    Merci par avance
    Alain

    -----

  2. #2
    invitea24281a0

    Re : ligne à retard ou registre à décalage

    salut,
    il te fo un retard de 10 micros secondes minimum ou pile poile ? sinon trouve toi un vieux chassis de tv (10 a 15ans) il y avait encore des ligne a retard dans ces vieux dinosaure!

  3. #3
    invite413894b7

    Re : ligne à retard ou registre à décalage

    En mettant plusieur registre a decalage de 16 bit en serie tu pourra atteindre tn registre a decalage de 64 bit, et pour les 10µs faut voir avec ta frequece d'utilisation de tes registres

  4. #4
    invite878a0658

    Re : ligne à retard ou registre à décalage

    slut!
    sinon tu peux en fabriquer une.
    T'as besoin d'une bascule (flip flop) |avec laquelle tu peux faire un registre a decalage , diviseur de frequence...| soit une bascule "rs, d,....."

  5. A voir en vidéo sur Futura

Discussions similaires

  1. Ligne à retard
    Par invite4551b321 dans le forum Électronique
    Réponses: 12
    Dernier message: 22/08/2006, 23h21
  2. nouvelle ligne free sans ligne france télécom
    Par ovaline dans le forum Internet - Réseau - Sécurité générale
    Réponses: 6
    Dernier message: 11/04/2006, 16h50
  3. Decalage live TV
    Par inviteafa80668 dans le forum Électronique
    Réponses: 0
    Dernier message: 08/11/2004, 14h14
Découvrez nos comparatifs produits sur l'informatique et les technologies.