Bonjour,
Je programme sous le logiciel Quartus.
J'essaye de commander le moteur pas à pas 28BYJ-48 avec la carte FPGA DE10-Lite et la carte ULN2003.
Voici mon programme :
J'arrive à bien commander les LEDs de la carte ULN2003. Mais le moteur ne tourne pas.Code:Library ieee; Use ieee.std_logic_1164.all; Use ieee.numeric_std.all; Use ieee.std_logic_unsigned.all; entity codeMoteur is port ( code : in std_logic_vector (3 downto 0); IN1 : out std_logic; IN2 : out std_logic; IN3 : out std_logic; IN4 : out std_logic ); end codeMoteur; architecture DESCRIPTION of codeMoteur is SIGNAL Moteur : std_logic_vector (1 to 4); BEGIN WITH code (3 downto 0) select Moteur <= "0000" WHEN "0000", "0001" WHEN "0001", "0011" WHEN "0011", "0010" WHEN "0010", "0110" WHEN "0110", "0100" WHEN "0100", "1100" WHEN "1100", "1000" WHEN "1000", "1001" WHEN "1001", "0000" WHEN OTHERS; IN1 <= Moteur(1); IN2 <= Moteur(2); IN3 <= Moteur(3); IN4 <= Moteur(4); END DESCRIPTION;
Après de longue recherche je n'arrive pas à trouver ce qu'il me manque.
Merci d'avance pour votre aide.
-----