Bonjour à tous,
Je voudrais s'avoir comment décrire l'architecture d'une fifo pour envoyé et recevoir des données d'un pc à un fpga dont le pont et la carte ftdi601. l'entité du programme est ci-dessous. Bien cordialement
architecture arch of fifo_data isCode:library ieee; use ieee.std_logic_1064.all; entity fifo_data is port ( clk : in std_logic; OE_N : in std_logic; WR_N : in std_logic ; RD_N : in std_logic; TXF_N : out std_logic; RXF_N: out std_logic; BE : inout std_logic_vector (3 downto 0); DATA : inout std_logic_vector (31 downto 0) ); end fifo_data;
-----