pb de declaration
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

pb de declaration



  1. #1
    invitea3a83812

    Unhappy pb de declaration


    ------

    Bonjour, je cherche a créer un demultiplexeur 1 vers 8 avec un bus de 30bits mais je ne sais pas comment declarer ce vecteur:

    Signal y: out array(0 to 29)of std_logic_vector(7 downto 0)
    cette ligne ne marche pas mais je ne sais où!
    Comme je suis un debutant en VHDL j'ai beaucoup de mal.
    Si quelqu'un peut m'aider merci!




    Voila la totalité:

    Library IEEE;
    Use IEEE.std_logic_1164.all;

    Entity demux is
    Port(
    Signal sel: in std_logic_vector(2 downto 0);
    Signal en: in std_logic;
    Signal y: out array(0 to 29)of std_logic_vector(7 downto 0)
    );end demux;

    architecture behavior of demux is
    begin
    process(sel,en)
    begin
    y<="11111111";
    if(en='1')then
    case sel is
    when "000"=>y(0)<='0';
    when "001"=>y(1)<='0';
    when "010"=>y(2)<='0';
    when "011"=>y(3)<='0';
    when "100"=>y(4)<='0';
    when "101"=>y(5)<='0';
    when "110"=>y(6)<='0';
    when "111"=>y(7)<='0';
    end case;
    end if;
    end process;
    end behavior;

    -----

  2. #2
    invite37693cfc

    Re : pb de declaration

    lut

    tu es sur de ton instruction ? downto ? down to?

    ++

  3. #3
    invitea3a83812

    Re : pb de declaration

    oui il n'y a pas de pb du coté de cette declaration la. DOWNTO est un mot reservé, on a le choix entre DOWNTO ou TO on change juste apres l'odre du LSB et du MSB.

  4. #4
    Jack
    Modérateur

    Re : pb de declaration

    salut,

    il me semble que la syntaxe est IS ARRAY et non pas simplement ARRAY.

    A

  5. A voir en vidéo sur Futura

Discussions similaires

  1. Déclaration Variable C
    Par jorg1n dans le forum Électronique
    Réponses: 6
    Dernier message: 16/10/2007, 18h01
  2. Déclaration variable en C
    Par jorg1n dans le forum Électronique
    Réponses: 7
    Dernier message: 20/09/2007, 07h45
  3. [Législation] Déclaration de site archéologique
    Par invitef8b35647 dans le forum Archéologie
    Réponses: 3
    Dernier message: 13/02/2007, 13h19
  4. La déclaration célèbre de Feynman et vous
    Par merou dans le forum Physique
    Réponses: 16
    Dernier message: 02/08/2005, 23h15
Découvrez nos comparatifs produits sur l'informatique et les technologies.