Vhdl
Discussion fermée
Affichage des résultats 1 à 2 sur 2

Vhdl



  1. #1
    saidbelhaj

    Vhdl


    ------

    Je veux un projet à l'aide de Quartus II V9.0 (code VHDL+ conception) qui consiste à entrer un numéro via un clavier connecté au port PS/2 de la Altera Cyclone III
    (EP3C25F324C8), et ce nombre va afficher en binaire par l’intermédiaire de quatre LEDs.
    si on saisie 1 correspond à 0001 en binaire ainsi led 1 est allumé et les autres sont éteintes
    si on saisie 2 correspond à 0010 en binaire ainsi led 2 est allumé et les autres sont éteintes
    si on saisie 3 correspond à 0011 en binaire ainsi led 1 et 2 sont allumés et les autres sont éteintes
    cordialement

    -----

  2. #2
    Tropique

    Re : Vhdl

    Bonjour,

    Prière d'éviter les doublons et de continuer sur le sujet en Electronique.

    Voir également les règles participatives de ce forum.
    Pas de complexes: je suis comme toi. Juste mieux.

Discussions similaires

  1. Vhdl when others
    Par invitef1539ac2 dans le forum Électronique
    Réponses: 1
    Dernier message: 13/05/2009, 20h46
  2. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  3. vhdl
    Par pol5700 dans le forum Électronique
    Réponses: 117
    Dernier message: 29/03/2007, 23h29