Vhdl-accès à la mémoire
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

Vhdl-accès à la mémoire



  1. #1
    lignux

    Vhdl-accès à la mémoire


    ------

    Bonjour,

    Je voudrais utiliser la mémoire RAM embarquée d'un FPGA comme mémoire tampon, or je ne vois pas comment y accéder (lecture/écriture).

    Y a-t-il des instructions VHDL particulière pour la gestion de la mémoire, ou cela est-il fait automatiquement par l'outil de synthèse?

    En gros, mon but est le suivant: je dois faire du traitement sur des données, par bloc de 100k environ (ce sont des images). Celles-ci arrivent par une pin. Je voudrais stocker le "fichier" en mémoire tampon, avant de travailler dessus.

    Cela est-il possible, ou suis-je obligé de travailler en temps réel, au fur et à mesure que ces données arrivent?

    D'avance, merci pour votre aide!

    Merci de poster sur le bon forum
    Cordialement.

    -----
    Dernière modification par HULK28 ; 12/02/2007 à 22h44.
    Good Night, and Good Luck!

  2. #2
    jiherve

    Re : VHDL - Accès à la mémoire

    Bonsoir
    Si ton FPGA est un Altera il va te falloir instancier explicitement le bloc de ram, le synthétiseur y arrive aussi mais c'est souvent la loterie.
    Toujours dans ce cas utilise le megawizard sous Quartus ou la macro LPM RAM.
    Pour les autres familles je ne sais pas précisément mais c'est je crois du même tonneau.
    Les 100K ce sont des bits ou bien des bytes ?
    JR

  3. #3
    lignux

    Re : VHDL - Accès à la mémoire

    C'est un Altera Cyclone 2. Aurais-tu un document, ou site web, expliquant comment faire cette instanciation du bloc ram, et comment y accéder en lecture-écriture?

    Les 100k, c'est des bytes (a-priori, mais si nécessaire, on peut toujours comprimer un peu plus... c'est à voir...)

    Merci!

    PS à la modération: Désolé, j'avais posté dans "Projets d'électronique", mais c'était involontaire... j'étais juste en train de faire une recherche dans cette rubrique avant de poster... et voilà.
    Good Night, and Good Luck!

  4. #4
    jiherve

    Re : Vhdl-accès à la mémoire

    Bonsoir
    Tu vas sur le site Altera tout y est:
    http://www.altera.com/support/examples/vhdl/vhdl.html
    JR
    Dernière modification par jiherve ; 13/02/2007 à 18h54. Motif: bouh ortho!!!

  5. A voir en vidéo sur Futura

Discussions similaires

  1. vhdl
    Par invite21ed25dc dans le forum Électronique
    Réponses: 12
    Dernier message: 09/12/2007, 14h29
  2. [Physiologie] Mémoire de la femme, mémoire de l'homme ??,
    Par inviteea090786 dans le forum Biologie
    Réponses: 37
    Dernier message: 08/10/2007, 10h44
  3. mémoire volontaire/mémoire involontaire
    Par invite52e66d85 dans le forum Psychologies (archives)
    Réponses: 12
    Dernier message: 21/06/2007, 22h59
  4. memoire cache vhdl
    Par invite31c0c3fb dans le forum Électronique
    Réponses: 1
    Dernier message: 04/04/2007, 20h56
  5. vhdl
    Par invite4add737e dans le forum Électronique
    Réponses: 0
    Dernier message: 16/11/2006, 23h41
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...