vhdl - Page 4
Répondre à la discussion
Page 4 sur 4 PremièrePremière 4
Affichage des résultats 91 à 118 sur 118

vhdl



  1. #91
    invitef1b6c776

    Re : vhdl


    ------

    ok mais j'ecrit sa a quel niveau?

    -----

  2. #92
    jiherve

    Re : vhdl

    Re
    Ecoutes tu relis le code il y a des lignes qui ressembles à celles que je viens de te donner , cela n'est pas trop dur!
    JR

  3. #93
    invitef1b6c776

    Re : vhdl

    if valid = '0' and raz_i = '1' then -

    elsif valid = '0' and mono_i = '1'

    c'est bien ses 2 ligne la qu'il faut que je remplace?

    merci

  4. #94
    invitef1b6c776

    Re : vhdl

    cool un grand merci a toi, le prog marche nikel!

  5. #95
    jiherve

    Re : vhdl

    re
    ENFIN!
    JR

  6. #96
    Jack
    Modérateur

    Re : vhdl

    95 posts pour un monostable.

    Tu as toujours l'uart à faire fonctionner?

    Reconnaissons en tout cas que tu ne baisses pas les bras

  7. #97
    jiherve

    Re : vhdl

    Re
    Oui et c'est bien car aujourd'hui cela devient rare!
    JR

  8. #98
    invitef1b6c776

    Re : vhdl

    en tout cas un grand merci a vous 2!

    pour le reste du projet alors il me reste une fonction a traiter.

    je doit extraire les 8bit de données du registre a decalage, du binaire, je passe en hexa.
    puis jele compare a un autre mot en hexa.

    cependans ya un truc que je pige pas donc faut que je voi avec mon prof.

    sinon toute mes fonctions s'emboite bien pour le moment donc c'est cool!

    lol oui enfin, en tout cas merci de votre patiente car j'en demande beaucoup.
    pis je pref comprendre car sinon au passage du bts sa m'aura servi a rien

  9. #99
    Jack
    Modérateur

    Re : vhdl

    je doit extraire les 8bit de données du registre a decalage, du binaire, je passe en hexa.
    puis jele compare a un autre mot en hexa.
    Je ne comprends pas ce que tu veux dire. Une base est une manière d'exprimer un nombre. Que tu l'exprimes en hexa ou en binaire, il s'agit toujours du même nombre.

    Dans tous les cas, au bout du compte, tu auras besoin d'un comparateur bit à bit.

    A+

  10. #100
    invitef1b6c776

    Re : vhdl

    oué le comparateur j'y pensai.

    me reste plus qu'a eclairsir avec mon prof ce que je doit comparai.

    d'un coté j'aurai un code hexa (tjs le meme) de l'autre j'ai mon signal rs232 donc qui peu changer. donc si je compare, ya un infime proba pour que se soi le meme code.

    tu ne croi pas?

  11. #101
    Jack
    Modérateur

    Re : vhdl

    Il faudrait que je connaisse le problème exact.

    A+

  12. #102
    invitef1b6c776

    Re : vhdl

    ok je voi avec mon prof demain pour quelques nouvelles explications,et je t'en reparle demain pour voir.

  13. #103
    invitef1b6c776

    Re : vhdl

    ok en fait j'ai compris il suffit juste de comparer 2 code binaire ou hexa.
    seul probleme il faut que je sorte les 8 bit de donnée du registre a decalage ( tjs pas trop compris)

    autre probleme. j'ai relié toute mes fonction avec max+plus et j'avai fait un compteur or il me faudrai un decompteur, peut-on le faire avec un 7493?
    j'ai essayer de prog un lpm_counter mais j'arive pas.

    sinon est ce que mon registre a memoire doit enregistrer au milieu des bit? est ce que si je prend la clk 16*plus rapide sa amrche quand meme? sinon apres j'ai un pb avec les reset.

    merci

  14. #104
    invitef1b6c776

    Re : vhdl

    en fait le pb est resolu.

    j'ai seuelemtn a extraire mes 8 bits de donnée, et a les comparé avec 8 autre bit.

    tout ce qu'il y a autour sa marche, trop cool.

  15. #105
    invitef1b6c776

    Re : vhdl

    j'ai trouver mon comparateur de bit.

    le 74684, j'ai testé, sa marche.
    quand c'est pareil la sortie P_GR_QN=1 et la sortie EQUALN=0, si c'est pas pareil EQUALN=1. c'est sa?

    me reste plus qu'a voir comment isoler les 8 bit de donnée, tjs pas trop compris sa lol

  16. #106
    Jack
    Modérateur

    Re : vhdl

    j'ai essayer de prog un lpm_counter mais j'arive pas.
    Dommage, car c'est très puissant tout en restant simple d'emploi. Les paramètres sont très bien documentés en cliquant sur le composant.

    A+

  17. #107
    invitef1b6c776

    Re : vhdl

    non mais en fait mon compteur marche je croi bien!

    c'est tout en anglais donc bon.

    me reste que a avoir que les 8 bit de donnée, tjs le meme prob.

  18. #108
    invitef1b6c776

    Re : vhdl

    pourrais tu me reexpliquer comment recup les bit de donnée.

    apres le registre j'ai 11 signaux decalé mais apres???

    peux tu me dire si c'est important d'enregistrer dans le registre au milieu de chaque bit ou l'horloge na pas d'importance?

    quel est en gro le temps entre 2 trame rs232? mon prof ma dit qu'elle ne pouvai pas etre collé mais ma pas duit combien de tps ya entre 2 trame!

    merci

  19. #109
    Jack
    Modérateur

    Re : vhdl

    Je ne sais plus quoi te dire à ce sujet, je ne comprends toujours pas ou se situe ton problème, car il est difficile de faire plus simple.

    Sur les bits de ta trame, 8 sont des bits de données. Tu les connectes à une registre mémoire et tu les stockes.

  20. #110
    Jack
    Modérateur

    Re : vhdl

    quel est en gro le temps entre 2 trame rs232
    Ca dépend du programme qui les envoie.

    mon prof ma dit qu'elle ne pouvai pas etre collé mais ma pas duit combien de tps ya entre 2 trame!
    Pas dans le cas général en tout cas.
    C'est un uart qui envoie les données. Dès que le regsitre à décalage d'émission est vide, l'octet suivant, s'il est présent dans le registre d'émission, est immédiatement chargé et commence à partir à la suite du précédent.

  21. #111
    invitef1b6c776

    Re : vhdl

    en fait je ne comprend pas pkoi j'ai 11 signaux qui sont identique et decalé.

    je voi pas pkoi j'aurai que 1 bit sur une sortie vu que j'ai le meme signal.

  22. #112
    invitef1b6c776

    Re : vhdl

    voila j'ai besoin d'explication.

    mon schéma et la sortie du registre a decalage, donc 11 signaux.
    le decalage en milieu de bit c'est mieu que n'importe ou?

    est ce que les bit 1a8 sont bien la ou j'ai numeroté?
    faut lire le chrono en vertical alors?

    si je prend que les sortie 1 a 8 du chrono j'aurai isolé les 8 bit de donnée?

    merci
    Images attachées Images attachées  

  23. #113
    Jack
    Modérateur

    Re : vhdl

    est ce que les bit 1a8 sont bien la ou j'ai numeroté?
    faut lire le chrono en vertical alors?
    Bein voilà
    Tu vois que ce n'était pas si compliqué. On retrouve bien ta donnée, non?

    si je prend que les sortie 1 a 8 du chrono j'aurai isolé les 8 bit de donnée?
    Exact.

  24. #114
    invitef1b6c776

    Re : vhdl

    oué j'ai cvompris avec mon comparateur.

    en ya les meme bit sur la durée d'un bit.

    ya plus qu'a fignoler et voila.

    va falloir que je change un peu le vhdl pour ralonger a +de 160 periode. faut que je calcul le nombre de periode qu'il me faut.

  25. #115
    invitef1b6c776

    Re : vhdl

    me reste plus qu'un dernier rglage a faire et normalement tout devrai marcher en etant assambler.

    sur le prog j'aimerai que la tempo soit de 250 impulsion d'horloge, que doit-je changer?

    je doit changer la ligne la??
    compte(8 downto 0) <= "110011110"

    pour mettre 256+250 en binaire?

    merci

  26. #116
    Jack
    Modérateur

    Re : vhdl

    Pourquoi n'essaies-tu pas . Je pense que c'est la meilleure manière de progresser.

    A+

  27. #117
    invitef1b6c776

    Re : vhdl

    oué c'est bon j'ai essayer juste apres mon mess et c'est cool.

    mais bon des fois le logiciel bug on dirai.
    tout marche je change rien et sa marche plus lol.

    me reste plus qu'a changerquelques detail, ya tout qui s'accorde mais j'ai encore 2-3 pti soucis.

  28. #118
    invitef1b6c776

    Re : vhdl

    trop cool, apres reliage de toutes les fonctions, derniere modif et test.

    tout marche nikel!

    cependans une question: mon registre a memoire ne sert qu'a deserialisé. est ce grave si j'ai pas tout le signal sur les derniere sortie (3 ou4 sortie) mais j'ai les bit de données qui m'interresse.

    qu'en pense tu?

    merci a vous pour votre aide.

    mtn reste encore le positionnment des entrée et sortie sur l'epm et ensuite routage de la carte.

Page 4 sur 4 PremièrePremière 4

Discussions similaires

  1. langage vhdl
    Par invite21ed25dc dans le forum Électronique
    Réponses: 1
    Dernier message: 15/12/2007, 07h51
  2. vhdl
    Par invite21ed25dc dans le forum Électronique
    Réponses: 12
    Dernier message: 09/12/2007, 14h29
  3. vhdl
    Par invitedcb8d9bb dans le forum Électronique
    Réponses: 5
    Dernier message: 29/03/2007, 19h52
  4. vhdl
    Par invite4add737e dans le forum Électronique
    Réponses: 0
    Dernier message: 16/11/2006, 23h41
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...