Librairie Modelsim/Quartus
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

Librairie Modelsim/Quartus



  1. #1
    invite27471be0

    Librairie Modelsim/Quartus


    ------

    Salut à tous,

    J'ai récupéré des fichiers de librairies VHDL de vecteurs logiques à points fixes et flottants. Je souhaite les utiliser sous Quartus (7.1sp1 web edition), et j'ai donc créé une librairie sous ModelSim 6.1g.
    Quelqu'un sait-il comment on fait pour utiliser sous Quartus les librairies que l'on compile sous ModelSim ?

    Merci d'avance.

    -----

  2. #2
    jiherve

    Re : Librairie Modelsim/Quartus

    Bonsoir
    Je ne saisis pas bien le sens de la question ?
    Normalement Quartus se contrefiche des librairies Modelsim il lui faut les sources.
    JR

  3. #3
    invite27471be0

    Re : Librairie Modelsim/Quartus

    Quand je fais appel à des librairies par défaut en début de code, typiquement :
    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;

    Je voudrais faire appel à des librairies de points fixes dont j'ai récupéré les fichiers sources (VHDL-2006 packages) sur le site de l'EDA.
    J'ai récupéré ModeSim 6.1g pour QuartusII 7.1sp1 Web edition sur le site d'Altera. J'ai créé un nouveau projet qui a créé une nouvelle librairie. Mais apparemment comme vous avez l'air de dire, Quartus se fout de ModelSim. Et donc ma démarche ne semble pas être la bonne.

    D'où ma question :
    Comment utiliser ces fichiers pour pouvoir utiliser des vecteurs logiques "ufixed" ou "sfixed" (types définis dans la dite librairie) sous Quartus ?
    Je suis en Web edition, peut être ne permet-elle pas la création de nouvelles librairies ?

    Merci d'avance de me faire avancer dans mes soucis !

  4. #4
    invite27471be0

    Re : Librairie Modelsim/Quartus

    C'est bon j'ai trouvé. Je me suis bien fait ch*** pour rien : il suffisait d'incorporer au projet les fichiers sources du package et faire "Create symbol files for the current file" (comme pour mes fichiers me servant à la schématique). Et apparemment ça marche... je verrai bien à la compilation si c'est synthétisable (la question qui tue comme j'ai lu sur un autre topic ).

  5. A voir en vidéo sur Futura

Discussions similaires

  1. programmation FPGA avec quartus
    Par invited58ee606 dans le forum Électronique
    Réponses: 4
    Dernier message: 01/02/2020, 01h07
  2. PCAD Librairie
    Par invite313702d3 dans le forum Électronique
    Réponses: 1
    Dernier message: 01/12/2007, 14h43
  3. Programme sous QUARTUS
    Par invite85cbfcba dans le forum Électronique
    Réponses: 2
    Dernier message: 04/05/2007, 12h27
  4. Modelsim post place and route simulation
    Par invite1e0f022e dans le forum Électronique
    Réponses: 2
    Dernier message: 20/04/2007, 20h57
  5. Librairie Eagle ???
    Par invite4cf94c3f dans le forum Électronique
    Réponses: 4
    Dernier message: 09/10/2006, 11h36
Découvrez nos comparatifs produits sur l'informatique et les technologies.