Registre a decalage SN74HC595N
Répondre à la discussion
Affichage des résultats 1 à 6 sur 6

Registre a decalage SN74HC595N



  1. #1
    hterrolle

    Registre a decalage SN74HC595N


    ------

    Bonjour,

    J'essaye en vain de comprendre le fonctionnement du SN74HC595N (shift register)

    En fait mon problème tiens en une seul question :

    Est il possible d'alimenter le registre par unité de 1 bit (0 ou 1) ou doit ont seulement l'alimenter par unité de 8 bit ?

    -----

  2. #2
    vincent66

    Re : Registre a decalage SN74HC595N

    Hello!
    Ce circuit est un registre à décalage à entrée série et sortie parallèle, équipé en outre d'une sortie série pour pouvoir en utiliser plusieurs en cascade.
    La seule manière d'y introduire des données est bit par bit sur l'entrée sérielle, en activant correctement les signaux d'horloge et de synchronisation.

    Vincent

  3. #3
    hterrolle

    Re : Registre a decalage SN74HC595N

    Salut Vincent,


    En fait d'apres se que j'ais compris il y a 2 registre (shift register et storage rgister). En fait je m'embrouille un peut avec la doc (in english of course).

    je cherche un example de programation pour du bit par bit. Puisque j'arrive a faire fonctionne le shift par paquet de 8 bits. J'ais juste un problème lorsque je veux passer en bit par bit. J'ai toujours un décalage d'une position apres chaque nouveau bit. Surement un probleme avec les signaux. C'est surtout de comprendre ces derniers qui semble me faire default.

  4. #4
    hterrolle

    Re : Registre a decalage SN74HC595N

    C'est bon j'ais trouvé la séquence pour faire du bit par bit.

  5. A voir en vidéo sur Futura
  6. #5
    hterrolle

    Re : Registre a decalage SN74HC595N

    Re-bonjour,

    Il existe des registre a decalage qui permette de décaler dans les deux sens (gauche et dorite).

    Serait il possible d'avoir la reference de se type de registre ?

    Merci

  7. #6
    vincent66

    Re : Registre a decalage SN74HC595N

    Hello!
    Le 74HC299 devrait t'intéresser.

    Vincent

Discussions similaires

  1. Décalage spectrométrique
    Par Sethrius dans le forum Archives
    Réponses: 7
    Dernier message: 21/03/2008, 16h22
  2. Decalage live TV
    Par inviteafa80668 dans le forum Électronique
    Réponses: 0
    Dernier message: 08/11/2004, 14h14
  3. ligne à retard ou registre à décalage
    Par invite6f67057b dans le forum Électronique
    Réponses: 3
    Dernier message: 25/06/2004, 14h14
Découvrez nos comparatifs produits sur l'informatique et les technologies.