programme VHDL
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

programme VHDL



  1. #1
    invite3049a46d

    programme VHDL


    ------

    Bonjour,
    J'ai ecrit un programme en VHDL qui marche trés bien sur WARP parceque j'ai fait la simulation et les tests mais j'arrive pas à le compiler sous prochip designer a chaque fois j'ais le message :
    line 51: Either Type mismatch or no visible function for this case
    j'ai ecrit : if cpt>180000 ce qu'il ne veut pas prendre .
    Est ce qu'il y'a une library qu'il faut introduire pour le if.

    -----
    Fichiers attachés Fichiers attachés

  2. #2
    KHEOPS1982

    Re : programme VHDL

    Salut,

    J'ai ecrit un programme en VHDL qui marche trés bien sur WARP parceque j'ai fait la simulation et les tests mais j'arrive pas à le compiler sous prochip designer a chaque fois j'ais le message
    Pourquoi veut-tu le compiler sous prochip designer, WARP intègre un compilateur ?

    line 51: Either Type mismatch or no visible function for this case
    j'ai ecrit : if cpt>180000 ce qu'il ne veut pas prendre .
    Attendons la validation de ton fichier pour regarder ton code VHDL.

    @+

  3. #3
    jiherve

    Re : programme VHDL

    Bonsoir
    Pas de raz, pas de when others : zéro pointé!
    Same player shoots again!
    JR
    l'électronique c'est pas du vaudou!

  4. #4
    invite3049a46d

    Re : programme VHDL

    Merci les amis j'ai trouvé le problème a la place de:
    signal cpt: std_logic_vector (4 downto 0); j'ai mis
    signal cpt :integer range 0 to 32;
    et pour remettre le compteur à zéro cpt<=0;

  5. A voir en vidéo sur Futura

Discussions similaires

  1. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  2. programme vhdl:besoin d aide
    Par invite165c4689 dans le forum Électronique
    Réponses: 2
    Dernier message: 18/05/2008, 22h56
  3. concernant un programme vhdl
    Par invite165c4689 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 15/05/2008, 11h06
  4. simulation d'un programme VHDL
    Par invite3e0ef868 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 28/10/2007, 13h47
  5. Cherche aide pour programme vhdl
    Par invite777b7619 dans le forum Électronique
    Réponses: 2
    Dernier message: 26/02/2007, 19h16
Découvrez nos comparatifs produits sur l'informatique et les technologies.