Programme VHDL
Répondre à la discussion
Affichage des résultats 1 à 3 sur 3

Programme VHDL



  1. #1
    invitec95e1776

    Programme VHDL


    ------

    Bonjour,

    je suis nouvelle en VHDL et je voulais savoir si vous pouviez m'indiquer un programme permettant de diviser par 2 un nombre binaire sur 4 bits.

    Merci beaucoup

    -----

  2. #2
    invitec95e1776

    Re : Programme VHDL

    y a personne pour m'aider
    svp

  3. #3
    jiherve

    Re : Programme VHDL

    Bonjour,
    il suffit de faire un décalage à droite!
    in : std_logic_vector(3 downto 0);
    out : std_logic_vector(3 downto 0);
    out <=("0" & in (3 downto 1));
    that's it!
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. programme VHDL
    Par invite3049a46d dans le forum Électronique
    Réponses: 3
    Dernier message: 07/11/2008, 07h35
  2. programme vhdl:besoin d aide
    Par invite165c4689 dans le forum Électronique
    Réponses: 2
    Dernier message: 18/05/2008, 22h56
  3. concernant un programme vhdl
    Par invite165c4689 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 15/05/2008, 11h06
  4. simulation d'un programme VHDL
    Par invite3e0ef868 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 28/10/2007, 13h47
  5. Cherche aide pour programme vhdl
    Par invite777b7619 dans le forum Électronique
    Réponses: 2
    Dernier message: 26/02/2007, 19h16
Découvrez nos comparatifs produits sur l'informatique et les technologies.