bonjour tout le monde.
j'ai devant moi un code VHDL d'une RAM, je ne comprends pas certaines instruction du langage , voici le code :
entity daul_port_ram is
generic (data_width : natural := 8;
addr_width : natural := 16);
port ( clk_in : in std_logic;
clk_out : in std_logic;
we : in std_logic;
addr_in : in std_logic_vector( addr_width - 1 downto 0);
addr_out : in std_logic_vector( addr_width - 1 downto 0);
data_in : in std_logic_vector( data_width - 1 downto 0);
data_out : out std_logic_vector( data_width - 1 downto 0)
);
end daul_port_ram;
architecture daul_port_ram_arch of daul_port_ram is
type mem_type is array (2** addr_width downto 0) of std_logic_vector( data_width - 1 downto 0) ;
signal mem : mem_type ;
begin
mem_write : process (clk_in)
begin
if clk_in'event and clk_in = '1' then
if (we = '1') then
mem( conv_integer( addr_in)) <= data_in ;
end if ;
end if ;
end process write ;
mem_read : process (clk_out)
begin
if clk_out'event and clk_out = '1' then
data_out <= mem( conv_integer( addr_out)) ;
end if ;
end process read;
end daul_port_ram_arch;
les ligne de code indiquées en rouge sont celle que je n'arrive pas à comprendre, j'ai beaucoup cherché sur internet mais je ne trouve pas le jeu d'instruction du VHDL.
merci d'avance les amis.
-----