L'horloge dans le VHDL
Répondre à la discussion
Affichage des résultats 1 à 6 sur 6

L'horloge dans le VHDL



  1. #1
    invitee6b5c4f9

    L'horloge dans le VHDL


    ------

    Salut tout le monde,
    J'ai juste une petite question à propos d'un attributs dans le langage de VHDL.
    est-ce que Clock’event and Clock = '1' then: veut dire la détection d'un front montant?
    Merci d'avance.
    Ch89

    -----

  2. #2
    invite87d208c9

    Re : L'horloge dans le VHDL

    oui, ca veut dire
    est-ce que il y a eu un evenement sur la CLK (sous-entendu : un changement d'état) et est-ce que CLK = 1 ?

    traduit : changement d'état ET etat = 1 --> front montant.

    if (CLK'event) and (CLK = '0') fonctionne également, mais pour un front descendant

  3. #3
    invitee6b5c4f9

    Re : L'horloge dans le VHDL

    Merci beaucoup Deamonight pour votre réponse.

  4. #4
    jiherve

    Re : L'horloge dans le VHDL

    Bonsoir,
    il vaut mieux utiliser :
    if rising_edge(clock)
    if falling_edge(clock)
    c'est plus parlant et plus court à taper.
    JR
    l'électronique c'est pas du vaudou!

  5. A voir en vidéo sur Futura
  6. #5
    invitee6b5c4f9

    Re : L'horloge dans le VHDL

    Bonjour,
    Oui, c'est vrai mais je crois peu de programmes connaissent cette fonction .
    Merci Jiherve.

    Ch89

  7. #6
    jiherve

    Re : L'horloge dans le VHDL

    Bonsoir
    Citation Envoyé par ch89 Voir le message
    Bonjour,
    Oui, c'est vrai mais je crois peu de programmes connaissent cette fonction .
    Merci Jiherve.

    Ch89
    avec Modelsim, QII, Isplever, Leonardo etc etc pas de PB.
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. lire et écrire dans un fichier en utilisant le VHDL
    Par invite8c6f844d dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 23/10/2009, 02h48
  2. intégrer un code en C dans un programme en VHDL
    Par invite8c6f844d dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 23/10/2009, 02h06
  3. VHDL - erreurs dans code RTL
    Par invitebf051ef0 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 07/11/2008, 10h35
  4. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  5. Ou je dois mettre mon code source vhdl dans le projet xmp?
    Par invite0d5fe536 dans le forum Électronique
    Réponses: 0
    Dernier message: 20/04/2008, 00h18
Découvrez nos comparatifs produits sur l'informatique et les technologies.