fpga Quartus
Répondre à la discussion
Affichage des résultats 1 à 14 sur 14

fpga Quartus



  1. #1
    caroline1012

    fpga Quartus


    ------

    Coucou!!

    Je suis étudiante je dois programmer sur fpga avec le logiciel quartus.
    Le but est en fait de simuler la variation de la luminosité avec des boutons par exemple et de jouer sur l'intensité d'une led...

    Donc voilà j'ai besoin d'un driver et d'un PWM. J'ai fait de nombreuses recherches au niveau du PWM et j'ai trouvé ce site : page 4/5

    http://www.altera.com/literature/wp/...l-cpld-pwm.pdf

    Mais voilà je n'ai jamais utilisé quartus et je me retrouve bloqué comment simulé?? ou plutôt que mettre en entrée?? en sortie ... j'ai déjà fait le schéma sur quartus mais je ne sais pas comment le simuler ...

    Pourriez vous me donner un peu d'aide sachant que je suis débutante en fpga. S'il vous plait.
    Merci d'avance.
    Caroline

    -----

  2. #2
    farouk77

    Re : fpga Quartus

    tu pourrais mettre ton schéma en pièce jointe?

    Pour simuler j'ai oublié, mais je crois qu'il faut que tu crées un fichier "waveform", que tu importes (dans le fichier waveform, tu pourras le faire une fois qu'il est ouvert) les entrées/sorties que tu veux simuler, que tu donnes l'allure des signaux aux entrées, et ensuite tu peux lancer une simulation...

    Mais dans la dernière version de quartus ce n'est pas comme ça, du coup je ne sais pas si ça t'aide ou pas ^^"

  3. #3
    caroline1012

    Re : fpga Quartus

    en fait non le problème c'est que je ne vois pas quoi mettre en entrée dans ce schéma je vois pas ce qu'il fait en fait ... et donc je ne sais pas ce que je dois mettre en entrée sortie carry, ...
    J'ai joins le doc pdf page 4/5 le schéma.
    Merci de ton aide
    Si jamais je peux te donner mon msn ça sera peut être plus simple.
    En tout cas si qqun en connait plus sur quartus
    Images attachées Images attachées

  4. #4
    farouk77

    Re : fpga Quartus

    ah ok pardon je n'avais pas compris que tu avais utilisé le schéma du pdf..

    alors, il faudrait que t'envoies (d'après ce que j'ai compris de l'explication en anglais), une fréquence Fpwm, et une valeur numérique.

    Il faut configurer ton LPM-Counter (enfin pour la sortie), si tu veux un rapport cyclique de 1/30, il faudra une sortie du type Q[30..0] (ou 29..0 je ne suis pas sur ).

    Si tu envois comme valeur numérique un 5 (dans l'entrée "digital data stream"), en sortie tu auras un PWM de rapport cyclique 5/30 et de fréquence Fpwm.


    voila, mais pas sur que j'ai bien compris le fonctionnement ^^"

  5. A voir en vidéo sur Futura
  6. #5
    farouk77

    Re : fpga Quartus

    J'ai trouvé ce code VHDL:
    library IEEE;
    use IEEE.STD_LOGIC_1164.all;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;

    entity PWM is
    port (
    clk : in std_logic;
    PWM_in : in std_logic_vector (7 downto 0) := "00000000";
    PWM_out : out std_logic
    );
    end PWM;

    architecture PWM_arch of PWM is
    signal PWM_Accumulator : std_logic_vector(8 downto 0);
    begin
    process(clk, PWM_in)
    begin
    if rising_edge(clk) then
    PWM_Accumulator <= ("0" & PWM_Accumulator(7 downto 0)) + ("0" & PWM_in);
    end if;
    end process;

    PWM_out <= PWM_Accumulator(8);
    end PWM_arch;


    Permettant de faire un pwm aussi...

    Pour créer un symbole quartus à partir d'un code VHDL :
    _File > new
    _ (une petite fenêtre s'ouvre) VHDL file
    _tu recopies le code
    _tu compiles
    _s'il n'y a pas d'erreur : file > create/update
    _Create symbolic file for Current file
    _ensuite tu retournes sur ton schéma avec les blocs
    _tu cliques sur le bouton correspondant au choix des composants
    _t'as le choix entre prendre un composant du dossier altera ou du dossier projet
    _le composant que tu as crée est dans le dossier projet..

    Attention: il faut que le nom du fichier VHDL soit PWM (le même que celui de l'architecture)

  7. #6
    jiherve

    Re : fpga Quartus

    Bonsoir,
    Avec la version web edition de Quartus on a aussi une version simplifiée de Modelsim, cela permet la simulation de projets simples comme le tien.
    Bien sur si tu ne connais ni l'un ni l'autre cela va être difficile.
    JR
    l'électronique c'est pas du vaudou!

  8. #7
    caroline1012

    Re : fpga Quartus

    coucou,

    alors voilà ce matin j'ai essayé pendant environ 2h de faire ce schéma et même mon compteur ne fonctionne pas... je desespere. qqun serait-il m'aider à ne serais-ce qu'utiliser quartus ... enfin au bout de 2h j'arrive un peu mieux à l'utiliser quand même mais les simulations ne donne rien du tout mon pwm reste a 0 et mon compteur idem ...
    J'essayerai demain le pwm en vhdl que tu m'as donné un grand merci d'ailleurs a tous d'essayer de m'aider...
    Sinon je dois faire plutot un pwm en block diagramm!!
    Encore un grand merci a tous!!
    j'espere que vous pourrez m'aider à résoudre mon problème. Si qqun est un pro en quartus, je veux bien qu'il m'explique via msn comment faire ... ça serait le must!!

    Caroline.

  9. #8
    farouk77

    Re : fpga Quartus

    Sinon je dois faire plutot un pwm en block diagramm!!
    En faisant la manip (que je quote plus bas), le vhdl devient un block diagram:

    Pour créer un symbole quartus à partir d'un code VHDL :
    _File > new
    _ (une petite fenêtre s'ouvre) VHDL file
    _tu recopies le code
    _tu compiles
    _s'il n'y a pas d'erreur : file > create/update
    _Create symbolic file for Current file
    _ensuite tu retournes sur ton schéma avec les blocs
    _tu cliques sur le bouton correspondant au choix des composants
    _t'as le choix entre prendre un composant du dossier altera ou du dossier projet
    _le composant que tu as crée est dans le dossier projet..
    au pire tu peux m'envoyer ton msn par pm si t'as toujours du mal malgré le code vhdl

  10. #9
    caroline1012

    Re : fpga Quartus

    coucou!!

    J'ai encore besoin de votre aide!!
    Je dois réaliser un fréquencemmètre en FPGA, quelqu'un pourrait-il m'aider?? c'est assez urgent.
    Projet à rendre pour le 24 decembre.

    http://portelatine.chez-alice.fr/ele...freq/freq.html
    J'ai trouvé un code VHDL mais il y a une erreur, et il est un peu trop complexe pour la simple utilisation dont j'ai besoin...

    Merci de votre aide!!
    Caroline

  11. #10
    indri

    Re : fpga Quartus

    Fréquence d'un signal carré entrant sur un pin du fpga?
    Si la fréquence est bcp plus faible que la clock interne du fpga-> compteur du nombre de coup de clock entre deux flanc montant de la fréquence à mesurer..en fonction de cette valeur tu sais trouver la période..et donc la fréquence..
    Là où va le vent...

  12. #11
    caroline1012

    Re : fpga Quartus

    Le problème c'est que je ne sais pas utilisé quartus voir très peu ...
    Tu pourrais m'aider??
    Oui je vais mettre en entrée un capteur de luminosité TSL 230 de mémoire!!

  13. #12
    PIXEL

    Re : fpga Quartus

    la tronche du prof qui va recevoir 30 devoirs bidochés sur le même site....

  14. #13
    indri

    Re : fpga Quartus

    Je vais juste de donner un bout de code..à toi de le comprendre et voir ce que tu peux faire avec...

    process(clk)
    ...
    begin
    if rising_edge(clk) then
    if clk_in='1' and old_clkin='0' then
    --start?
    end if;
    old_clkin<=clk_in;
    if start then
    .....
    end if;
    ....
    Là où va le vent...

  15. #14
    caroline1012

    Re : fpga Quartus

    J'ai déjà fait qqchose mais ça ne donne pas de bonnes simulations
    qqun pourrait m'aider?? SVP
    library IEEE;

    use IEEE.STD_LOGIC_1164.all;
    use ieee.std_logic_arith.all;
    use ieee.numeric_std.all;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;
    library work;

    --------------------------------------------------------------------------------

    entity freq is
    port(
    RESET : in std_logic; -- RESET
    H : in std_logic; -- HORLOGE
    FREQUENCE : in std_logic; -- SIGNAL DONT LA FREQUENCE VARIE
    S0 : out std_logic_vector( 15 downto 0 ); -- SIGNAL DE SORTIE CODE SUR 16 BITS 0 A 65535
    HOLD_B : in std_logic -- SIGNAL PERMETTANT LA LECTURE SUR LE BUS
    );
    end freq;

    architecture metre of freq is

    signal cpt0 : std_logic_vector (16 downto 0); -- Compteur par rapport l'horloge (pour faire 1ms)
    signal c0 : std_logic_vector (15 downto 0); -- Compteur prenant en compte le nombre de pulsations
    signal raz : std_logic; -- Signal permettant de commuter entre l'horloge et la fréquence

    begin

    --------------------------------------------------------------------------------
    --
    -- Processus du compteur de frequence
    --
    --------------------------------------------------------------------------------
    diviseur : process (FREQUENCE, RESET, raz)
    begin
    if (RESET='1'or raz='1') then
    c0<= (others => '0');
    -- Activation du signal frequence sur front montant
    elsif FREQUENCE'event and FREQUENCE = '1' then
    if c0 /= "1111111111111111" then
    c0 <= c0 + 1 ; -- On compte le nombre de pulsations sur 1 ms
    end if;
    end if;
    end process diviseur;

    --------------------------------------------------------------------------------
    --
    -- Processus permettant de retourner les valeurs de la fréquence
    --
    --------------------------------------------------------------------------------
    seq : process (H, RESET)
    begin
    if RESET='1' then
    S0<= (others => '0');
    cpt0<=(others => '0'); -- Le reset doit être asynchrone par rapport à l'horloge
    raz<='0';
    elsif H'event and H='1' then
    if cpt0 = "00100111000100000" then
    cpt0<=(others => '0');
    raz<='1';
    if HOLD_B = '1' then
    S0<= c0;
    end if;
    else
    cpt0<=cpt0+1; -- Activation du compteur pour avoir 1 ms
    raz<='0';
    end if;
    end if;
    end process;

    end metre;

Discussions similaires

  1. programmation FPGA avec quartus
    Par invited58ee606 dans le forum Électronique
    Réponses: 4
    Dernier message: 01/02/2020, 02h07
  2. simulation sur quartus II
    Par invitef8dc72ae dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 28/05/2009, 11h47
  3. Quartus II simulation Vhdl
    Par invitef9e6be50 dans le forum Électronique
    Réponses: 1
    Dernier message: 27/03/2008, 21h48
  4. Librairie Modelsim/Quartus
    Par invite27471be0 dans le forum Électronique
    Réponses: 3
    Dernier message: 10/08/2007, 18h02
  5. Programme sous QUARTUS
    Par invite85cbfcba dans le forum Électronique
    Réponses: 2
    Dernier message: 04/05/2007, 13h27
Découvrez nos comparatifs produits sur l'informatique et les technologies.