Quartus .sdc
Répondre à la discussion
Affichage des résultats 1 à 10 sur 10

Quartus .sdc



  1. #1
    indri

    Quartus .sdc


    ------

    Bonjour à tous,

    J'utilise quartus et dans un de mes codes, j'ai des violations de timing d'une clock venant d'une pll interne au Fpga. D'après ce que j'ai pu lire sur des documents provenant d'Altera, je peux utiliser la commande "derive_pll_clocks" à insérer dans un fichier .sdc à rajouter au projet pour résoudre le problème.

    Mais bon j'ai jamais fait ca et donc j'ai fait naivement "nouveau fichier->sdc " , tape la commande enregistrer et lancer la compilation.
    Mais il a pas l'air de prendre le fichier en compte pour la compilation.

    Donc je demande une aide pour soit comprendre comment utiliser cette commande "derive_pll_clocks" soit de manière générale comment résoudre des violations de timing...

    Merci d'avance pour toute infos!

    -----
    Là où va le vent...

  2. #2
    jiherve

    Re : Quartus .sdc

    Bonsoir,
    un fichier .sdc c'est un fichier de contraintes temporelles, pour le générer de façon simple il faut lancer Timequest(grosse horloge rouge).
    Après ouverture double click sur "report setup summary", ne t'occupes pas du résultat.
    Ensuite aller dans l'onglet "constraints" choisir "create clock"
    renseigne la rubrique "clock name" avec le nom de l'horloge d'entrée de ta PLL , nom exact de la pinoche du FPGA!!
    Renseigne la rubrique "period" avec la période de ton horloge
    clique sur le bouton à droite de la rubrique "target" une fenêtre s'ouvre, clique sur "list", choisi l'horloge en question et passe là à droite(bouton >), clique sur run .
    Retourne dans l'onglet "constraints" et clique sur "write sdc file", cela généra un fichier nomduprojet.out.sdc
    Édite le fichiers avec un éditeur (notepad++ par ex) et rajoute la commande "derive_pll_clocks" dans le paragraphe "Create Generated Clock" et sauvegarde sous nomduprojet.sdc que tu iras inclure dans quartus dans l'onglet réservé à timequest (assignments => settings => timequest => sdc file to include+add)

    relance la compilation.
    Si je ne me suis pas trompé cela devrait contraindre la compilation, ensuite (après compilation) tu relances Timequest, double click sur "report setup summary"
    tu devrais avoir une ligne en rouge , highligth,click droit,"report timing", dans la fenêtre cliquer sur le bouton "report timing", cela te donnera les x nœuds ne passant pas.
    Je te préviens Timequest c'est un métier,bon courage.
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    indri

    Re : Quartus .sdc

    Hé un expert!

    Bon j'ai essayé ce que tu m'a conseillé mais j'suis tombé sur des choses qui collent pas au scénario.

    Ensuite aller dans l'onglet "constraints" choisir "create clock"
    renseigne la rubrique "clock name" avec le nom de l'horloge d'entrée de ta PLL , nom exact de la pinoche du FPGA!!
    Renseigne la rubrique "period" avec la période de ton horloge
    Quand je fais ca j'ai ce warning : " Warning: Incorrect assignment for clock. Source node: iCLK_50 already has a clock(s) assigned to it. Use the -add option to assign multiple clocks to this node. Clock was not created or updated."

    Je me dis que ca vient du fait que j'utilise le kit de2-70 d'altera et l'assignement de celui-ci qui est déjà fait correctement (je pense).

    Et lorsque j'ourvre le fichier sdc il y a déjà la commande "derive_pll_clocks"

    Sinon après re-compilation les erreurs de timing apparaissent différement (piece jointe). Je sais pas trop ce que ca veut dire...

    En tout cas merci pour la réponse..
    Images attachées Images attachées  
    Là où va le vent...

  4. #4
    jiherve

    Re : Quartus .sdc

    Bonsoir,
    Donc tu avais déjà un fichier.sdc
    Le scenario donné ne valait que s'il n'y avait rien.
    Le rapport dit qu'il te manque 1,7ns de setup, en clair ton horloge est trop rapide pour ton design.
    Solution : identifier le chemin fautif et voir s'il ne peut pas être modifié pour arranger çà, simplification des équations + pipe line.
    Il faut éviter les équations comportant un trop grand nombre de termes, sur la DE2_70 c'est un Cyclone, donc pas un foudre de guerre, Fmax raisonnable aux alentours de 100/150MHz.
    Ou baisser la fréquence.
    Mais sans connaitre le design c'est juste un avis.
    JR
    l'électronique c'est pas du vaudou!

  5. A voir en vidéo sur Futura
  6. #5
    indri

    Re : Quartus .sdc

    Trop rapide carrement...^^
    Sinon je travail à 100 MHz et baisser la fréquence va pas trop possible je pense.
    Enfin je verrais bien! Merci en tout cas!
    Là où va le vent...

  7. #6
    indri

    Re : Quartus .sdc

    Je reviens là dessus. Y'a moyen de vérifier qu'il a bien considéré ma sortie de pll comme une clock?
    Là où va le vent...

  8. #7
    jiherve

    Re : Quartus .sdc

    bonsoir,
    oui ne t'inquiète pas la sortie, utilisée, d'une pll est bien considérée comme une horloge.
    JR
    l'électronique c'est pas du vaudou!

  9. #8
    indri

    Re : Quartus .sdc

    Je reviens là dessus... en regardant les points critiques de l'analyse de timings, y'a moyens que quartus me montre directement dans rtl viewer où ca pose problème?
    Parce qu'avec un gros design c'est galère de s'y retrouver...

    Merci!
    Là où va le vent...

  10. #9
    jiherve

    Re : Quartus .sdc

    bonsoir,
    cela ne servirait à rien.
    normalement dans le rapport Timequest il y a le nom des signaux litigieux.
    mais tout de même un petit lien très très utile:
    http://www.alterawiki.com/wiki/TimeQuest_User_Guide
    JR
    l'électronique c'est pas du vaudou!

  11. #10
    indri

    Re : Quartus .sdc

    Merci pour le lien
    Là où va le vent...

Discussions similaires

  1. fpga Quartus
    Par caroline1012 dans le forum Électronique
    Réponses: 13
    Dernier message: 19/12/2010, 09h05
  2. Quartus, une astuce ? :)
    Par invite110d3f8c dans le forum Électronique
    Réponses: 2
    Dernier message: 25/08/2010, 12h55
  3. simulation sur quartus II
    Par invitef8dc72ae dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 28/05/2009, 10h47
  4. [Blanc] seche linge selec line, SDC 66 E qui s'arrete apres 2 min de fonctionnement
    Par invite85957201 dans le forum Dépannage
    Réponses: 3
    Dernier message: 05/01/2009, 09h23
  5. Quartus II simulation Vhdl
    Par invitef9e6be50 dans le forum Électronique
    Réponses: 1
    Dernier message: 27/03/2008, 20h48
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...