Vhdl
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

Vhdl



  1. #1
    invitefcc1b3ac

    Vhdl


    ------

    Bonjour tout le mode,
    Je ne sais pas comment faire pour enregistrer une donnée dans un registre dans une case mémoire.
    Comment manipuler les mémoires pour y insérer les données en VHDL
    Merci de votre aide

    -----

  2. #2
    jiherve

    Re : Vhdl

    Bonsoir,
    il faut déclarer un type qui sera une array du genre de données que tu veux stocker:

    ....
    type array_mem is array (0 to size-1) of integer(std_logic_vector, unsigned, ...,...);
    signal mem : array_mem;
    signal write : boolean;
    signal data : integer(std_logic_vector, unsigned, ...,...);
    signal addr : integer range 0 to size-1;
    ...
    Begin
    Process(write, data,addr);
    begin
    if write then
    mem(addr) <= data;
    end if;
    end process;

    Il vaut mieux utiliser un process synchrone, mais à partir de ce que je te donne c'est facile.
    cela suppose aussi que le compilateur fasse une inférence correcte du bloc mémoire. Que cela soit chez Xilinx ou Altera il existe des macros adaptées à la géneration de mémoire, c'est moins portable mais beaucoup plus efficace.
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. Vhdl
    Par invited701b211 dans le forum Électronique
    Réponses: 9
    Dernier message: 08/07/2010, 20h20
  2. Vhdl
    Par invite35890bd8 dans le forum Électronique
    Réponses: 2
    Dernier message: 09/12/2008, 21h59
  3. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  4. vhdl
    Par invitedcb8d9bb dans le forum Électronique
    Réponses: 5
    Dernier message: 29/03/2007, 19h52
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...