Bonjour !!
Voilà je suis en train d'écrire un petit programme en vhdl, j'avais pris un tri-state comme exemple sur internet que j'ai un peu changé !
Enfin je l'ai juste clocké pour éviter d'avoir des conlits sur ma sortie.
Voici le code:
Mes erreurs se rerouvent aux lignes que j'ai surligné. Franchement je n'arrive pas à saisir le problème!Code:entity Mux_mem_addr is Port ( out_mux : out STD_LOGIC_VECTOR (8 downto 0); clk : in std_logic; sel : in STD_LOGIC_vector (1 downto 0); in1 : in STD_LOGIC_VECTOR (8 downto 0); in2 : in STD_LOGIC_VECTOR (8 downto 0)); end Mux_mem_addr; architecture Behavioral of Mux_mem_addr is begin P1: process (clk) begin if clk'event and clk='1' then out_mux <= in1 when (sel(0) = '1') else(others => 'Z'); out_mux <= in2 when (sel(1) = '1') else (others => 'Z'); out_mux <= ( others => 'L' ); end if; end process P1;
Voici le code erreur:
Je vous remercie de votre attention !Code:Line 48: Syntax error near "when". Line 50: Syntax error near "when".
Bonne journée
-----