Vhdl
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

Vhdl



  1. #1
    invite244237cc

    Vhdl


    ------

    salut tout le monde est ce que quelqu'un peut m'aider a resoudre cettre exercice j'ai l'impression qu'il va etre le sujet de mon examen

    Process (A,S)
    variableV : std_logic;
    Begin
    V :=A;
    S <= V;
    V :=S;
    T <=V;
    end Process ;
    Supposer que S vaut ‘0’,e tA change de l’état ‘0’à l’état ‘1’.

    1.Quelle est la valeur de S à la fin du process,avant le delta délai?
    2.Quelle est la valeu rdeV à la fin du process,avant le delta délai?
    3.Après l’exécution du process e taprès le delta délai,S et T prennen tleurs nouvelles valeurs,que valen tS,T et V?
    4.Après la première exécution du process et après le delta délai,que se passe-t-il?

    merci d'avance

    -----

  2. #2
    jiherve

    Re : Vhdl

    Bonsoir,
    juste un petit lien instructif:
    http://comelec.enst.fr/hdl/vhdl_comp...tal.html#temps
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. Vhdl
    Par elec_cup dans le forum Électronique
    Réponses: 1
    Dernier message: 24/05/2011, 13h29
  2. Vhdl
    Par invite8bb2785b dans le forum Électronique
    Réponses: 6
    Dernier message: 08/10/2008, 19h54
  3. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  4. vhdl
    Par invite165c4689 dans le forum Électronique
    Réponses: 4
    Dernier message: 16/05/2008, 15h04
  5. vhdl
    Par invitedcb8d9bb dans le forum Électronique
    Réponses: 5
    Dernier message: 29/03/2007, 19h52
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...