vhdl
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

vhdl



  1. #1
    invitec210ac13

    vhdl


    ------

    J'ai une question en rapport avec la syntaxe

    la déclaration " f<='0' When "0000"<= A <="1111" else '1' est elle correcte ou l'on devra utilisé impérativement
    " f<='0' When ("A>=0000")and (A<="1111") else '1'

    Voilà merci !

    -----

  2. #2
    jiherve

    Re : vhdl

    Bonsoir,
    c'est la seconde forme à condition d'avoir déclaré la bonne librairie.
    en fait la bonne écriture c'est:
    f<='0' When ((unsigned(A)>= unsigned("0000")) and (unsigned(A)<= unsigned("1111"))) else '1';
    avec les déclarations de deux librairies:
    use IEEE.std_logic_1164.all;
    use IEEE.numeric_std.all;
    au bout du compte cela est cependant équivalent à : f <= '0'; car si A est un std_logic_vector(3 downto 0) alors il ne prend pas de valeurs "réelles" en dehors de cet intervalle.
    Dans l'ecriture d'origine je suis bien incapable de dire ce que cela peut faire car si par chance A est bien un std_logic_vector(3 downto 0) alors la valeur '1' ne sera atteinte que si l'un des bit de A vaut autre chose que '0' ou '1' ce qui est bien sur possible en VHDL. Si A est de taille différente alors attention aux comparaisons entre objets de taille différentes ce que rien n'interdit ici, le résultat est toujours faux.
    JR
    Dernière modification par jiherve ; 08/06/2011 à 20h43.
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. Vhdl
    Par invited701b211 dans le forum Électronique
    Réponses: 9
    Dernier message: 08/07/2010, 20h20
  2. Vhdl
    Par invite35890bd8 dans le forum Électronique
    Réponses: 2
    Dernier message: 09/12/2008, 21h59
  3. Vhdl
    Par invite8bb2785b dans le forum Électronique
    Réponses: 6
    Dernier message: 08/10/2008, 19h54
  4. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  5. vhdl
    Par invitedcb8d9bb dans le forum Électronique
    Réponses: 5
    Dernier message: 29/03/2007, 19h52
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...