Génération d'un signal (VHDL) pour une fréquence bien déterminée dans un FPGA
Répondre à la discussion
Affichage des résultats 1 à 10 sur 10

Génération d'un signal (VHDL) pour une fréquence bien déterminée dans un FPGA



  1. #1
    isamel85

    Génération d'un signal (VHDL) pour une fréquence bien déterminée dans un FPGA


    ------

    Bonjour,
    En fait, je travaille sur un projet qui a pour but de mettre une plateforme de communication pour pouvoir interagir à un capteur d'hydrogène sans fil.
    La plateforme est composé d'une board d'évaluation ML505 de XILINX (sur laquelle il y a un Virtex 5) qui va implémenter le traitement numérique en bande de base) et une autre board (software defined radio) pour le front end analogique qui comporte les blocs indispensables (modulateur/démodulateur, filtre, LNA, PA, oscillateur local) pour communiquer sur la bande UHF (900 MHz).
    La plateforme requiert un DAC de 12 bit et un ADC de 12 bit afin d'adapter les deux boards.

    Donc, j'ai besoin d'aide pour pouvoir générer un signal en bande de base (description en VHDL) sur une fréquence bien déterminée, autrement, j'ai de la misère pour faire la description en VHDL du générateur du signal.

    -----

  2. #2
    fabang

    Re : Génération d'un signal (VHDL) pour une fréquence bien déterminée dans un FPGA

    Fait des recherches avec DDS (Direct Digital Synthesis) tu trouveras sans doute des idées d'architecture.
    Ici un exemple de DDS.
    http://www.analog.com/static/importe...ets/AD9832.pdf

  3. #3
    jiherve

    Re : Génération d'un signal (VHDL) pour une fréquence bien déterminée dans un FPGA

    Bonjour,
    il manque pas mal d'info :
    bande de base n'a pas de signification univoque : 0 =>1Hz , 0=>100Mhz ?
    Quelle forme de signal : sinus, triangulaire, PWM, complexe ....?
    Variable en amplitude,fréquence les deux ?
    La plateforme requiert un DAC de 12 bit et un ADC de 12 bit afin d'adapter les deux boards.
    c'est tout de même pas le moyen de communication ?
    JR
    l'électronique c'est pas du vaudou!

  4. #4
    isamel85

    Re : Génération d'un signal (VHDL) pour une fréquence bien déterminée dans un FPGA

    Merci beaucoup pour l'information, l'idée est très intéressante pour moi, de toute façon, je vais commencer par cette proposition.

  5. A voir en vidéo sur Futura
  6. #5
    isamel85

    Re : Génération d'un signal (VHDL) pour une fréquence bien déterminée dans un FPGA

    A fabang
    Merci beaucoup pour l'information, l'idée est très intéressante pour moi, de toute façon, je vais commencer par cette proposition.

  7. #6
    isamel85

    Re : Génération d'un signal (VHDL) pour une fréquence bien déterminée dans un FPGA

    A jiherve
    Je veux un signal sinusoïdal de fréquence et amplitude variables parce que la board de front end analogique est reconfigurable, donc, j'essayerai d'adapter le signal à la board
    Mon clock de FPGA est de 125 MHz.
    Et merci beaucoup

  8. #7
    jiherve

    Re : Génération d'un signal (VHDL) pour une fréquence bien déterminée dans un FPGA

    Bonsoir,
    et quelle est la fréquence espérée ?
    Générer un sinus c'est facile soit au moyen d'un calcul polynomial, soit par tabulation, soit par un CORDIC.
    JR
    l'électronique c'est pas du vaudou!

  9. #8
    isamel85

    Re : Génération d'un signal (VHDL) pour une fréquence bien déterminée dans un FPGA

    Bonjour,
    on va travailler à 20 MHz
    Y-a t'il des exemples de description pour le sinus?
    isamel85

  10. #9
    jiherve

    Re : Génération d'un signal (VHDL) pour une fréquence bien déterminée dans un FPGA

    Re
    voir sur opencores.org
    http://opencores.org/
    JR
    l'électronique c'est pas du vaudou!

  11. #10
    isamel85

    Re : Génération d'un signal (VHDL) pour une fréquence bien déterminée dans un FPGA

    Merci beaucoup

Discussions similaires

  1. Circuit pour doubler la fréquence d'un signal electrique
    Par invite919a976e dans le forum Physique
    Réponses: 18
    Dernier message: 21/03/2011, 15h27
  2. Génération d'un signal carré
    Par invite30904046 dans le forum Électronique
    Réponses: 8
    Dernier message: 16/03/2010, 13h59
  3. génération d'un signal carré
    Par invite014ff062 dans le forum Électronique
    Réponses: 3
    Dernier message: 22/09/2009, 15h01
  4. envoie d'un signal a partir du FPGA
    Par invite85cbfcba dans le forum Électronique
    Réponses: 4
    Dernier message: 31/05/2007, 15h52
  5. Réponses: 9
    Dernier message: 30/09/2006, 11h10
Découvrez nos comparatifs produits sur l'informatique et les technologies.