Génération d'un signal carrée
Répondre à la discussion
Affichage des résultats 1 à 20 sur 20

Génération d'un signal carrée



  1. #1
    Maurice74960

    Génération d'un signal carrée


    ------

    Bonjour à tous,

    Dans le cadre d'un pilotage de moteur à courant continu, je veux concevoir une commande en signal carré, de manière cyclique. Plus précisément, je veux mettre une commande à 6 volts pendant 200ms, ensuite 6,36V pendant 300ms, 6V pendant 200ms, puis 5.64V pendant 300ms, puis 6V pendant 200ms... (voir image jointe)

    Nom : Cycle.JPG
Affichages : 71
Taille : 30,8 Ko

    Je me pose la question sur la manière pour réaliser ce type de signal, faut-il un microcontroleur (en langage ASM ou langage C), un dsp ou automate programmable?


    Cordialement.

    -----

  2. #2
    DAUDET78

    Re : Génération d'un signal carrée

    On dose l'énergie envoyée à un moteur, non pas avec une variation de tension, mais par une commande en PWM (ou MLI en Français)
    J'aime pas le Grec

  3. #3
    PIXEL

    Re : Génération d'un signal carrée

    les valeurs sont franchement zarbi !

    on pilote un moteur par découpage :

    http://www.sonelec-musique.com/elect...imple_002.html

    EDIT , grillé par Daudet , avec son brio habituel.

  4. #4
    Maurice74960

    Re : Génération d'un signal carrée

    Désolé messieurs, j'ai omis de vous dire que ce moteur est piloté à l'aide d'un double AOP, (asservissement en position) lorsque la tension de commande est supérieure à la tension de feedback le moteur tourne "CW" et quand la tension de commande est inférieure à tension de feedback le moteur tourne "CCW", le moteur s'arrête quand le Vcommand = Vfeeback.

    L'idée, ici, est de pouvoir générer une tension commande en signal carrée avec des temporisation qui sont des multiple de 100ms et de saut de +/- 0.36V.

  5. A voir en vidéo sur Futura
  6. #5
    PA5CAL

    Re : Génération d'un signal carrée

    Bonjour

    Mises à part les questions de l'utilité et de l'adéquation de ton signal, on peut le réaliser sans aucun système informatisé, uniquement avec des circuits standards non programmables. On peut aussi, si tu le préfères, le réaliser avec un microcontrôleur, DSP ou autre.

  7. #6
    PIXEL

    Re : Génération d'un signal carrée

    bref , si on voyait un schéma , ou même un synoptique du truc....

  8. #7
    DAUDET78

    Re : Génération d'un signal carrée

    Citation Envoyé par Maurice74960 Voir le message
    L'idée, ici, est de pouvoir générer une tension commande en signal carrée avec des temporisation qui sont des multiple de 100ms et de saut de +/- 0.36V.
    La demande, pour un asservissement de position, me semble complétement folklo .....
    Tu peux préciser un peu plus ton schéma ?
    J'aime pas le Grec

  9. #8
    Maurice74960

    Re : Génération d'un signal carrée

    Voici le diagramme de mon asservissement.

    Nom : diagram.JPG
Affichages : 78
Taille : 14,1 Ko

    Le capteur est un potentiomètre de recopie.

  10. #9
    PIXEL

    Re : Génération d'un signal carrée

    ça , c'est un synoptique pompé sur un quelconque cours...

    aucune info ne peut en être déduite.

  11. #10
    Maurice74960

    Re : Génération d'un signal carrée

    Non, je viens de le réaliser. Le système est juste un asservissement en position tout simple. On compare 2 tensions à l'aide d'un L272M (http://www.datasheetcatalog.org/data...onics/1320.pdf) le moteur actionne une mécanique.

    Je ne comprends pas pourquoi vous vous focalisez tous sur l'application. Je veux juste réalisation un signal carrée avec des petits sauts (paramétrable) pour des durées multiples de "100ms"

  12. #11
    DAUDET78

    Re : Génération d'un signal carrée

    Citation Envoyé par Maurice74960 Voir le message
    Je veux juste réalisation un signal carrée avec des petits sauts (paramétrable) pour des durées multiples de "100ms"
    Parce que ça ne sert à rien ...... Tu veux absolument un prix Nobel de régulation ?
    J'aime pas le Grec

  13. #12
    PIXEL

    Re : Génération d'un signal carrée

    Citation Envoyé par Maurice74960 Voir le message
    Je ne comprends pas pourquoi vous vous focalisez tous sur l'application."
    biscotte tu es sur un forum d'ÈCHANGES !

    donc , ne serait-ce que pour la culture des animateurs (bénévoles faut il le rappeler) nous nous
    intéressons au pourquoi du comment.

    si tu veux juste une prestation de labo d'étude , tu sors ton chéquier , et y'a plein de TPE qui te feront
    le travail demandé.

  14. #13
    Maurice74960

    Re : Génération d'un signal carrée

    Je ne pense pas qu'un électronicien pourra être prix nobel un jour, si je suis sur le forum futura science, c'est justement pour apprendre et améliorer nos compétences. Aujourd'hui je souhaiterai apprendre un générer des signaux de manière informatique.

  15. #14
    PIXEL

    Re : Génération d'un signal carrée

    un forum n'est pas là (que la modération me corrige sinon) pour faire des cours aussi généralistes...

    mais pour répondre à des questions ciblées !

    si tu cherches des cours , il y a :

    des écoles ,
    des livres ,

    voir des sites (bien que je sois réservé sur cette soluce)
    Dernière modification par PIXEL ; 13/02/2012 à 13h38.

  16. #15
    Maurice74960

    Re : Génération d'un signal carrée

    Merci,

    J'avais bien saisi

  17. #16
    PA5CAL

    Re : Génération d'un signal carrée

    Citation Envoyé par Maurice74960 Voir le message
    si je suis sur le forum futura science, c'est justement pour apprendre et améliorer nos compétences. Aujourd'hui je souhaiterai apprendre un générer des signaux de manière informatique.
    Note qu'ici, on n'est pas sur le forum Informatique, mais sur le forum Électronique. L'utilisation des microprocesseurs et microcontrôleurs n'est pas la seule réponse possible.

    Ta question portait que le système nécessaire, mais tu as déjà choisi ta réponse. Et maintenant tu indiques que ton but est d'apprendre comment faire ce que tu as déjà décidé...

    Le but du forum, c'est pour donner des coups de pouce ponctuels sur des questions précises, et pour donner des réponses pertinentes on a besoin de connaître les tenants et les aboutissants du projets. Si ce sont des cours que tu cherches, on en trouve facilement sur Internet, mais ce n'est pas le rôle d'un forum de les prodiguer.

    [EDIT: grillé par PIXEL]
    Dernière modification par PA5CAL ; 13/02/2012 à 13h44.

  18. #17
    Maurice74960

    Re : Génération d'un signal carrée

    PA5CAL,

    Je comprends mieux. J'ai sans doute mal exprimé mon besoin. Ma question ne porte pas sur comment réaliser le dispositif. Je cherche un aiguillage de votre part, je suis face à trois possibilités:

    1. Microcontroleur type PIC16FXXX

    2. DSP plus riche en génération de signaux...

    3. Automates programmable, type grafcet ...

    Est-ce que vous voyez d'autres types de techno (sous Labview)?

    Si je suis venu sur ce forum, c'est juste pour un aiguillage, afin de dégager les solutions lourdes.

    D'avance je vous remercie.

  19. #18
    DAUDET78

    Re : Génération d'un signal carrée

    Citation Envoyé par Maurice74960 Voir le message
    Je cherche un aiguillage de votre part,
    C'est un simple asservissement de position ? tu expliques ton besoin et deux ampliOPs et c'est basta !
    J'aime pas le Grec

  20. #19
    PA5CAL

    Re : Génération d'un signal carrée

    Citation Envoyé par Maurice74960 Voir le message
    PA5CAL,

    Je comprends mieux. J'ai sans doute mal exprimé mon besoin. Ma question ne porte pas sur comment réaliser le dispositif. Je cherche un aiguillage de votre part, je suis face à trois possibilités:

    1. Microcontroleur type PIC16FXXX

    2. DSP plus riche en génération de signaux...

    3. Automates programmable, type grafcet ...

    Est-ce que vous voyez d'autres types de techno (sous Labview)?

    Si je suis venu sur ce forum, c'est juste pour un aiguillage, afin de dégager les solutions lourdes.

    D'avance je vous remercie.
    En ce qui me concerne, j'ai déjà réalisé ce type de circuit de séquencement avec seulement un compteur Johnson et des portes NAND à trigger de Schmitt de la série 4000, et une poignée de composants discrets.

    Pour les solutions informatisées, tout dépend du contexte (contraintes sur le système développé, systèmes de développement disponibles, fournisseurs ...).
    Dernière modification par PA5CAL ; 13/02/2012 à 14h02.

  21. #20
    Maurice74960

    Re : Génération d'un signal carrée

    Citation Envoyé par PA5CAL Voir le message
    En ce qui me concerne, j'ai déjà réalisé ce type de circuit de séquencement avec seulement un compteur Johnson et des portes NAND à trigger de Schmitt de la série 4000, et une poignée de composants discrets.

    Pour les solutions informatisées, tout dépend du contexte (contraintes sur le système développé, systèmes de développement disponibles, fournisseurs ...).
    Merci,

    Je vais étudier la solution que tu propose (non informatisée).

    Pour les solutions informatisées

    Contraintes sur le système: Il faut pouvoir modifier facilement les sauts et les périodes.
    Systèmes de développement disponibles: à l'heure actuelle je n'ai aucun système, je suis prêt à me les procurer
    Fournisseurs: Radiospares, voir les fabricants de Micro (Microchip, TI,...)

    Cordialement.

Discussions similaires

  1. [ARM] Génération d'un signal: rapport cyclique
    Par invite0bef94e7 dans le forum Électronique
    Réponses: 5
    Dernier message: 25/04/2010, 16h21
  2. Génération d'un signal carré
    Par invite30904046 dans le forum Électronique
    Réponses: 8
    Dernier message: 16/03/2010, 13h59
  3. génération d'un signal carré
    Par invite014ff062 dans le forum Électronique
    Réponses: 3
    Dernier message: 22/09/2009, 15h01
  4. Réponses: 9
    Dernier message: 14/03/2008, 22h34
  5. probleme de generation de signal à partir d'un PIC
    Par invitedf0aab05 dans le forum Électronique
    Réponses: 4
    Dernier message: 22/08/2004, 18h00
Découvrez nos comparatifs produits sur l'informatique et les technologies.