code vhdl
Répondre à la discussion
Affichage des résultats 1 à 7 sur 7

code vhdl



  1. #1
    deyni

    code vhdl


    ------

    Bonjour,

    Je voulais savoir si vous savez comment faire le "pong" en vhdl, où si vous avez déjà vu le code, ou si vous l'avez.
    Je sais que c'est possible, j'ai déjà vu plusiseurs personnes le faire.

    merci de toute réponse ou commentaire qui me serai très fort utile.

    Merci.

    -----
    Deynid'oiseaux partout !! :rire:

  2. #2
    stefjm

    Re : code vhdl

    Bonjour,
    C'est un petit projet de DUT GEII première année.

    Par exemple, si on attaque en VGA, il faut générer les signaux de synchro ligne et trame à partir d'une horloge pixel.
    Puis faire de l'affichage fixe de formes géométriques élémentaires.
    Puis les faire bouger.
    Puis gerer les détections de collision.

    etc...

    Il faudrait peut-être un peu préciser le contexte pour avoir des réponses plus précises.

    Cordialement.
    Moi ignare et moi pas comprendre langage avec «hasard», «réalité» et «existe».

  3. #3
    deyni

    Re : code vhdl

    Bonjour,

    merci de votre réponse. Mais je ne suis pas en DUT.
    Je faisais ça pour moi.
    Je recherchais le code.
    Ou bien, quelque chose qui nous dit quoi faire point par point.

    Merci.
    Deynid'oiseaux partout !! :rire:

  4. #4
    stefjm

    Re : code vhdl

    Hello,
    J'ai donné les pistes générales, à adapter au matériel dont tu disposes pour les tests.
    Cordialement.
    Moi ignare et moi pas comprendre langage avec «hasard», «réalité» et «existe».

  5. A voir en vidéo sur Futura
  6. #5
    deyni

    Re : code vhdl

    Rebonjour.

    J'ai à ma disposition une carte spartan 3 e.

    Le truc c'est que je ne sais pas comment faire.

    Merci.
    Deynid'oiseaux partout !! :rire:

  7. #6
    deyni

    Re : code vhdl

    Comment faire?

    J'ai déjà un synchroniseur.
    Deynid'oiseaux partout !! :rire:

  8. #7
    stefjm

    Re : code vhdl

    Bonjour,
    Qu'appelles-tu un synchroniseur?

    Tu veux faire de l'affichage? Quel type de signaux?

    Qu'est ce qu'il y a comme fonctionnalité intégrée sur ta carte?

    Veux-tu tout refaire? (pour apprendre)
    Veux-tu intégrer de l'existant?

    Sinon, pour le code en lui même, pas bien dur à trouver :
    https://github.com/armandas/Plong/tree/v1.0

    Cordialement.
    Moi ignare et moi pas comprendre langage avec «hasard», «réalité» et «existe».

Discussions similaires

  1. Problème code VHDL
    Par mortaurat dans le forum Électronique
    Réponses: 2
    Dernier message: 18/01/2012, 22h34
  2. Code VHDL pseudo-aléatoire
    Par invite48f8686e dans le forum Électronique
    Réponses: 8
    Dernier message: 23/12/2009, 18h11
  3. Pb code vhdl!!!
    Par invitedf5f6b15 dans le forum Électronique
    Réponses: 14
    Dernier message: 29/05/2008, 23h26
  4. Simulation code vhdl
    Par invite232dbe64 dans le forum Électronique
    Réponses: 4
    Dernier message: 30/11/2006, 09h35
  5. code source en vhdl [déplacé]
    Par invite4add737e dans le forum Électronique
    Réponses: 2
    Dernier message: 20/11/2006, 14h09
Découvrez nos comparatifs produits sur l'informatique et les technologies.