Problème code VHDL
Répondre à la discussion
Affichage des résultats 1 à 3 sur 3

Problème code VHDL



  1. #1
    mortaurat

    Problème code VHDL


    ------

    Bonjour,
    j'ai un petit code trés simple que réalise un compteur, cependant j'ai quelques erreurs:
    Code:
    ERROR:HDLCompiler:1731 -  Line 49: found '0' definitions of operator "+", cannot determine exact overloaded matching definition for "+"
    ERROR:HDLCompiler:806 -  Line 54: Syntax error near "<=".
    ERROR:HDLCompiler:1728 -  Line 54: Type error near q ; current type std_logic_vector; expected type  void
    ERROR:HDLCompiler:806 -Line 56: Syntax error near "Behavioral".
    ERROR:HDLCompiler:841 -  Line 56: Expecting type  void for <behavioral>.
    Comment puis-je faire fonctionner ce programme ?

    Merci

    Code:
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    --use ieee.std_logic_unsigned.ALL;
    use IEEE.numeric_std.all;
    
    entity compteur is
        Port ( CLK : in  STD_LOGIC;
               Qs : out  STD_LOGIC_VECTOR (3 downto 0));
    end compteur;
    
    architecture Behavioral of compteur is
    signal q : std_logic_vector(3 downto 0);
    begin
    
    process(clk)
    begin
    if (rising_edge(clk)) then
    	if(q = "1111") then
    	q <= "0000" ;
    	else
    	q <= q + 1;
    	end if ;
    end if;
    end process
    
    Qs <= q ;
    
    end Behavioral;

    -----

  2. #2
    jiherve

    Re : Problème code VHDL

    Bonsoir,
    comme numeric_std ne défini pas l'addition d'un std_logic_vector et d'un integer tel que supposé pas q <= q+1 il faut passer par un unsigned on écrit alors:
    q <= std_logic_vector(unsigned(q)+1 );
    et miracle!
    on peut même faire tout d'un coup :
    q <= std_logic_vector(to_unsigned(( to_integer (unsigned(q))+1) rem 16,4));
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    mortaurat

    Re : Problème code VHDL

    merci bien, c'était ça...

Discussions similaires

  1. Erreur code VHDL (process ?)
    Par invitebe80985c dans le forum Électronique
    Réponses: 3
    Dernier message: 28/01/2011, 22h29
  2. Code VHDL pseudo-aléatoire
    Par invite48f8686e dans le forum Électronique
    Réponses: 8
    Dernier message: 23/12/2009, 18h11
  3. Pb code vhdl!!!
    Par invitedf5f6b15 dans le forum Électronique
    Réponses: 14
    Dernier message: 29/05/2008, 23h26
  4. Simulation code vhdl
    Par invite232dbe64 dans le forum Électronique
    Réponses: 4
    Dernier message: 30/11/2006, 09h35
  5. code source en vhdl [déplacé]
    Par invite4add737e dans le forum Électronique
    Réponses: 2
    Dernier message: 20/11/2006, 14h09
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...