help en vhdl
Répondre à la discussion
Affichage des résultats 1 à 6 sur 6

help en vhdl



  1. #1
    invite949c3ca1

    help en vhdl


    ------

    conception d'un circuit numérique pour la commande d'un système audio et son implantation dans un composant FPGA

    -----

  2. #2
    jiherve

    Re : help en vhdl

    Bonsoir,
    Bienvenue, voila un vaste sujet mais avec aussi peu d'informations je reste sec.
    Est ce un exercice scolaire ou bien un projet industriel?
    Dans le premier cas une aide est possible, mais pas dans le second, il faut être sérieux ici c'est un forum d'entraide pas un bureau d'étude gratuit.
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    invite949c3ca1

    Re : help en vhdl

    pojet industriels

  4. #4
    jiherve

    Re : help en vhdl

    Bonsoir,
    C'est bien tu es honnête, donc tu connais la réponse.
    JR
    l'électronique c'est pas du vaudou!

  5. A voir en vidéo sur Futura
  6. #5
    stefjm

    Re : help en vhdl

    Citation Envoyé par othmax Voir le message
    pojet industriels
    Bonsoir,
    J'ai des stagiaires intéressés par le sujet.
    11 semaines payé 436€/mois mini.
    Ca se passe où?
    Cordialement.
    Moi ignare et moi pas comprendre langage avec «hasard», «réalité» et «existe».

  7. #6
    Jack
    Modérateur

    Re : help en vhdl

    Citation Envoyé par othmax Voir le message
    conception d'un circuit numérique pour la commande d'un système audio et son implantation dans un composant FPGA
    On peut s'attendre à un minimum de politesse quand on pose une question.

    Je te rappelle ce point de la charte:
    2. La courtoisie est de rigueur sur ce forum : pour une demande de renseignements bonjour et merci devraient être des automatismes.


Discussions similaires

  1. Vhdl
    Par elec_cup dans le forum Électronique
    Réponses: 1
    Dernier message: 24/05/2011, 13h29
  2. Vhdl
    Par invite35890bd8 dans le forum Électronique
    Réponses: 2
    Dernier message: 09/12/2008, 21h59
  3. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  4. vhdl
    Par invite6fc91cc2 dans le forum Électronique
    Réponses: 1
    Dernier message: 03/01/2008, 23h52
  5. vhdl
    Par invite4add737e dans le forum Électronique
    Réponses: 0
    Dernier message: 16/11/2006, 23h41
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...