Conversion VHDL vers circuit logique
Répondre à la discussion
Affichage des résultats 1 à 5 sur 5

Conversion VHDL vers circuit logique



  1. #1
    Hdecharn

    Conversion VHDL vers circuit logique


    ------

    Bonjour,

    Je souhaiterais savoir quels softs sont capables de réaliser la conversion du VHDL vers circuit logique, c'est à dire montrer le schéma logique correspondant au code VHDL. Une discussion du même genre à été initiée en 2004, mais aucune réponse claire n'a été apportée ! Merci d'avance pour vos réponses.

    PS : merci de ne pas discuter ma demande.

    -----

  2. #2
    micka_ch

    Re : Conversion VHDL vers circuit logique

    Bonjour,

    Je sais qu'avec Xilinx ISE, je pouvait voir le schéma rtl et que ça nous donnais quelque chose comme ça :

    Nom : rtl.JPG
Affichages : 269
Taille : 22,8 Ko

    Maintenant je ne travail plus avec ce genre d'outil, je ne pas exactement te dire comment faire.

    Salutations

  3. #3
    jiherve

    Re : Conversion VHDL vers circuit logique

    Bonsoir,
    La plupart des logiciels de compilation VHDL peuvent fournir avec plus ou moins de bonheur un équivalent en schéma électrique d'un code VHDL.
    Cela ne sert pas à grand chose sauf à pondre de la doc.
    JR
    l'électronique c'est pas du vaudou!

  4. #4
    Hdecharn

    Re : Conversion VHDL vers circuit logique

    J'en ai pourtant essayés quelques uns, mais j'ai rien trouvé de transcendant !
    Quel logiciel (Linux de préférence) me conseillerez vous ?

  5. A voir en vidéo sur Futura
  6. #5
    jiherve

    Re : Conversion VHDL vers circuit logique

    bonjour,
    charge la web edition de QII version linux (site Altera) tu trouvera ton bonheur sous tools /netlist_viewer/rtl_viewer.
    Avec la version Windows du même pas de soucis.
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. Porte Logique et VHDL
    Par invite5c707bf0 dans le forum Électronique
    Réponses: 7
    Dernier message: 27/01/2012, 20h06
  2. VHDL : Conversion 16 bits vers 32 bits
    Par gregdrck5 dans le forum Électronique
    Réponses: 1
    Dernier message: 09/10/2011, 20h34
  3. VHDL: forcer la position de son circuit logique
    Par invite92ed1c2e dans le forum Électronique
    Réponses: 2
    Dernier message: 21/04/2011, 20h21
  4. conversion du C vers le VHDL
    Par invite8dd87bb3 dans le forum Électronique
    Réponses: 0
    Dernier message: 04/05/2008, 13h26
  5. VHDL vers circuit logique
    Par invite91e50fe1 dans le forum Électronique
    Réponses: 15
    Dernier message: 16/09/2007, 15h09
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...