utilisation de virgule fixe en vhdl
Répondre à la discussion
Affichage des résultats 1 à 5 sur 5

utilisation de virgule fixe en vhdl



  1. #1
    thabet1983

    utilisation de virgule fixe en vhdl


    ------

    salut
    ja travail sur un projet
    j'ai trouvé un pbm pour l'utilisation de virgule fixe en vhdl
    y a t il quelqu'un qui peut me donner un exemple des codes claire utilisant le virgule fixe
    sachant que j'ai fait plusieur recherche mais je compris pas exactement
    je veux un exemple claire utilisant deux variable avec leur multiplication
    sachant que je veux aussi avoir que la parti à gauche de resultat
    svp aidez moi
    et meeeeerci

    -----

  2. #2
    polo974

    Re : utilisation de virgule fixe en vhdl

    En virgule fixe, c'est comme si tu travaillais avec tes nombres multipliés par N.

    exemple: format 4.4 non signé N=24
    4.75 s'écrira 0100.1100 soit 0x4C
    10.125 s'écrira 1010.0010 soit 0xA2


    Donc si tu fais une multiplication, ton résultat est multiplié par 24*24 soit 8 bits de précision.
    0x4C * 0xA2 = 0x3018 soit 0011 0000.0001 1000 soit 48.09375

    Il te suffit donc de laisser tomber les 8 bits de poids faible (après avoir fait un arrondi si besoin).
    donc sans arrondi
    0x301 soit 0011 0000.0001 soit 48.0625

    ou avec arrondi
    0x3018 + 0x0008 = 0x3020
    0x302 soit 0011 0000.0010 soit 48.125

    maintenant, à toi d'étendre la précision (trop facile) et si besoin d'adapter si les nombres sont signés (pour l'arrondi)...
    Dernière modification par polo974 ; 01/09/2012 à 06h44.
    Jusqu'ici tout va bien...

  3. #3
    thabet1983

    Re : utilisation de virgule fixe en vhdl

    merci
    est ce que vous pouvez me donner un exemple de code en VHDL ?

  4. #4
    polo974

    Re : utilisation de virgule fixe en vhdl

    Citation Envoyé par thabet1983 Voir le message
    merci
    est ce que vous pouvez me donner un exemple de code en VHDL ?
    Non,
    Je n'ai bidouillé du vhdl qu'une fois dans ma vie (et encore, en situation de concours (où je n'ai obtenu que 19.5/20 sur l'épreuve)).

    De toute façon, personne ici ne te donnera ça, par contre des explications ou des suggestions oui...

    Donc pose tes questions, montre ce que tu as, ce sur quoi tu butes...
    Jusqu'ici tout va bien...

  5. A voir en vidéo sur Futura
  6. #5
    thabet1983

    Re : utilisation de virgule fixe en vhdl

    j'ai des questions svp aidez moi

    j'ai dejà trouvé une package qui traite les virgule fixe et flottante

    elle se trouve dans ce document:

    http://www.eda-stds.org/vhdl-200x/vh...d/Fixed_ug.pdf

    svp j'arrive pas à l'installer ou l'ajouter à xillinx 13.1

    quelqu'un peut me dire comment l'installer et y a-t-il des autres idées

Discussions similaires

  1. Problème utilisation WITH SELECT en VHDL
    Par thundertom dans le forum Électronique
    Réponses: 4
    Dernier message: 16/03/2011, 20h44
  2. Multiplication virgule fixe en VHDL
    Par alexglvr dans le forum Électronique
    Réponses: 3
    Dernier message: 17/11/2009, 19h49
  3. Calcul en virgule fixe/flottante
    Par invitefbd123a4 dans le forum Logiciel - Software - Open Source
    Réponses: 1
    Dernier message: 17/02/2007, 15h15
  4. Explicitation d'une suite : Utilisation du point fixe
    Par invite122a3db2 dans le forum Mathématiques du supérieur
    Réponses: 5
    Dernier message: 23/09/2006, 16h03
Découvrez nos comparatifs produits sur l'informatique et les technologies.