Problème utilisation WITH SELECT en VHDL
Répondre à la discussion
Affichage des résultats 1 à 5 sur 5

Problème utilisation WITH SELECT en VHDL



  1. #1
    thundertom

    Problème utilisation WITH SELECT en VHDL


    ------

    Bonsoir à tous,

    j'ai un petit soucis lors de la compilation d'une partie de mon code que voici:

    Code:
    PROCESS(clk, reset_n)
    BEGIN
    	IF reset_n = '0' THEN
    		IR_load <= '1';
    		Load_Result <='0';
    		WR <= '0';
    		State <= LoadInstr;
    	ELSIF clk'EVENT AND clk = '1' THEN
    		CASE State IS
    			WHEN LoadInstr =>
    				State <= DecodeInstr;
    			
    			WHEN DecodeInstr =>
    				WITH opcode  SELECT
    					Load_PC <= 	'1' WHEN CALL,
    							   	'0' WHEN OTHERS;
    				WITH opcode SELECT
    					PC_inc <= 	'0' WHEN 	CALL,
    							  	'1' WHEN OTHERS;
    				State <= GestPC;
    			
    			WHEN GestPC =>
    				WITH opcode SELECT
    					Load_Stack <= 	'1' WHEN RET OR RETconst,
    									'0' WHEN OTHERS;
    				WITH opcode SELECT
    					Load_Jump_Adr <= '1' WHEN BZ0 OR BZ1 OR BC0 OR BC1 OR BV0 OR BV1 OR BN0
    												  OR BN1 OR BRA OR CALL,
    									 '0' WHEN OTHERS;
    				State <= GestStack;
    				
    			WHEN GestStack =>
    				State <= Calc;
    				
    			WHEN Calc =>
    				WITH opcode SELECT
    					Load_Result <= '0' WHEN NOP OR STOREaddr OR SETC OR CLRC OR TRFNC OR BZ0 OR BZ1 
    										 OR BC0 OR BC1 OR BV0 OR BV1 OR BN0 OR BN1 OR BRA OR CALL OR RET,
    								   '1' WHEN OTHERS;
    				WITH opcode SELECT
    					WR <= 	'1' WHEN STOREaddr,
    							'0' WHEN OTHERS;
    			   State <= LoadInstr;
    			WHEN OTHERS => null;
    		END CASE;
    	END IF;
    END PROCESS; 	
    				
    		 
    
    end architecture behavioral ; -- of Sequencer

    J'ai des erreurs à toutes les lignes concernées par les WITH SELECT, est-ce que quelqu'un à une idée de l'erreur que j'ai fait?

    Merci de vôtre aide!

    -----
    Fabricando fit faber

  2. #2
    jiherve

    Re : Problème utilisation WITH SELECT en VHDL

    Bonsoir,
    autant que je m'en souvienne "with select" ne peut être utilisé dans un process clocké ou non.
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    thundertom

    Re : Problème utilisation WITH SELECT en VHDL

    c'était donc ça....

    merci de la réponse!

    c'est de même pour l'assignation conditionelle?

    Exemple:
    Code:
    Z <= '0' when A='0' AND B='0' AND C='0' else 
         '0' when A='0' AND B='0' AND C='1' else 
         '0' when A='0' AND B='1' AND C='0' else '1';
    Par-ce-que j'ai aussi des erreur avec ça dans un process
    Fabricando fit faber

  4. #4
    jiherve

    Re : Problème utilisation WITH SELECT en VHDL

    Re
    oui.
    JR
    l'électronique c'est pas du vaudou!

  5. A voir en vidéo sur Futura
  6. #5
    thundertom

    Re : Problème utilisation WITH SELECT en VHDL

    Merci bien!
    Fabricando fit faber

Discussions similaires

  1. Problème affichage NEC I-Select M4610
    Par invite9fae838f dans le forum Matériel - Hardware
    Réponses: 4
    Dernier message: 16/10/2010, 16h21
  2. Problème en VHDL
    Par invitee188ddfe dans le forum Logiciel - Software - Open Source
    Réponses: 1
    Dernier message: 31/03/2009, 09h17
  3. Problème avec la requete SELECT et UPDATE
    Par invite7e9df035 dans le forum Internet - Réseau - Sécurité générale
    Réponses: 10
    Dernier message: 23/01/2009, 14h07
  4. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  5. [Brun] télécommande select 5 bc-9950
    Par invite3c90a0e8 dans le forum Dépannage
    Réponses: 0
    Dernier message: 26/02/2007, 11h48
Découvrez nos comparatifs produits sur l'informatique et les technologies.