Table de vérité dur a comprendre.
Répondre à la discussion
Affichage des résultats 1 à 22 sur 22

Table de vérité dur a comprendre.



  1. #1
    invite0a5f8b75

    Table de vérité dur a comprendre.


    ------

    Bonsoir je fabrique un dé électronique avec un afficheur 7 segment .. pour se faire j'ai besoin du décodeur 4511
    dans ce dernier il y a 3 pates nomé EL BI LT ..
    moi j'ai besoin de la premiere EL ..
    il y a un inverseur a l'entrée de cette porte donc elle doit etre mis a 0 pour fonctionner ..
    dans le dé j'ai 8 bits donc possibilité de 0 à 7 mais comme vous le savez Un dé n'a que 6 possibilité de 1 à 6 !
    Donc j'aimerais annulé le 0 et le 7 sur l'afficheur..
    voici la table de véritée :
    C B A N°
    0 0 0 0
    0 0 1 1
    0 1 0 2
    0 1 1 3
    1 0 0 4
    1 0 1 5
    1 1 0 6
    1 1 1 7

    Donc je dois annulé le 000 et le 111 ..
    avec une équation cela donnerais abc+ a'b'c' ..
    quel porte logique dois je utilisé ?
    Car elle doivent laisser passer toutes les combinaison sauf 000 111 .. c'est un vrai casse tete lol
    Bien à vous et bonne soirée

    -----

  2. #2
    jiherve

    Re : Table de vérité dur a comprendre.

    Bonsoir,
    qu'est ce qui pilote le 4511 , un compteur je parie, donc tu détectes le 6 et tu recharges le compteur à 1 il ne restera que 1 à 6!
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    jiherve

    Re : Table de vérité dur a comprendre.

    Re
    il faut un compteur avec load synchrone. Suivant la techno soit un nand triple (2 portes) soit un and triple et un inverseur.
    JR
    l'électronique c'est pas du vaudou!

  4. #4
    PA5CAL

    Re : Table de vérité dur a comprendre.

    Bonjour

    Puisqu'il s'agit d'un dé électronique, ce n'est pas l'ordre d'apparition des chiffres qui est importante, mais leur équiprobabilité. On peut donc utiliser un décompteur programmable pour réaliser le décompte de 6 à 1, avec un retour à 6 dès que le 0 apparaît... ce que les décompteurs (4510, 4516, 4029, ...) détectent le plus souvent.

    Voici un exemple avec un 4510. On relance le dé en appuyant sur K1.

    Nom : dice.png
Affichages : 471
Taille : 26,1 Ko

    On peut se passer de l'inverseur situé entre la sortie « Carry Out » et l'entrée « Preset Enable » en utilisant un 40192 ou 40193 (« Carry Out » s'appelle alors « Borrow »), mais dans ce cas il est nécessaire d'assurer que l'entrée d'horloge (« Count Down ») reste à l'état bas à l'arrêt du dé, par exemple en utilisant la sortie de la seconde porte NAND de l'oscillateur du précédent schéma.
    Dernière modification par PA5CAL ; 05/09/2012 à 07h56.

  5. A voir en vidéo sur Futura
  6. #5
    invite0a5f8b75

    Re : Table de vérité dur a comprendre.

    Salut et merci a tous pour vos réponses .. Mais j'aimerais garder mon 4518 et 4511 dans mon schéma . je suis sur il y a moyen de réaliser ce que je pense il faut juste qqun qui s'y connais en table de vérité équations logiques .. car il faut juste effectuer le calcul d'apres la table que j'ai réaliser pour avoir des portes logiques qui laisee passer toutes les combinaison de 1 à 6 (001 010 ...) mais qui bloque le 0 ( 000 ) et le 7 (111) ..

    MErci
    Bien à vous

  7. #6
    PA5CAL

    Re : Table de vérité dur a comprendre.

    Si tu tiens absolument à éteindre l'afficheur en portant à 1 l'entrée LE lorsque 0 ou 7 apparaît, il faudra utiliser une combinaisons de portes logiques pour y arriver. À vu d'oeil, tu ne dois pas pouvoir le faire avec un seul boîtier, à moins d'utiliser un PAL (réseau programmable).

    Tu pourrais par exemple utiliser :

    • un 4073 (3 x AND à 3 entrées) et un 4572 (4 x NOT) afin de réaliser l'opération :

    • un 4073 (3 x AND à 3 entrées) et un 4025 (3 x NOR à 3 entrées) afin de réaliser l'opération :

    • un 4028 (décodeur BCD-décimal) et un 4081 (4 x AND à 2 entrées) afin de réaliser l'opération :

    etc. ...
    Dernière modification par PA5CAL ; 05/09/2012 à 11h49.

  8. #7
    invite0a5f8b75

    Re : Table de vérité dur a comprendre.

    Merci beaucoup j'essaye ca et je vous dit quoi

  9. #8
    PA5CAL

    Re : Table de vérité dur a comprendre.

    Oups... j'ai voulu aller trop vite, et j'ai écrit n'importe quoi (il faut éviter les modifs de copier-coller quand on n'est pas à ce qu'on fait). Pour le premier exemple, il faut lire :

    • un 4023 (3 x NAND à 3 entrées) et un 4572 (4 x NOT) afin de réaliser l'opération :

  10. #9
    PA5CAL

    Re : Table de vérité dur a comprendre.

    ... et pour le troisième exemple, il faut lire :

    • un 4028 (décodeur BCD-décimal) et un 4071 (4 x OR à 2 entrées) afin de réaliser l'opération :

  11. #10
    invite0a5f8b75

    Re : Table de vérité dur a comprendre.

    Malheureusement il ne fonctionne pas
    Nom : dé simulé.png
Affichages : 282
Taille : 28,1 Ko

  12. #11
    PA5CAL

    Re : Table de vérité dur a comprendre.

    Sur ce schéma les sorties du 4073 et du 4025 sont court-circuitées !

    Elles devraient être reliées séparément aux entrées d'une autre porte NOR du 4025, elle-même suivie d'un autre porte NOR dont la sortie est reliée à l'entrée LE (=EL ?) du 4511, les entrées inutilisées étant reliées à la masse.
    Dernière modification par PA5CAL ; 05/09/2012 à 23h58.

  13. #12
    PA5CAL

    Re : Table de vérité dur a comprendre.

    Si ça ne te paraît pas clair, je rappelle que pour réaliser :
    on doit câbler successivement les opérations suivantes :
    Ici j'ai noté les signaux de sortie du nom de la porte logique correspondante sur ton schéma de simulation.
    Dernière modification par PA5CAL ; 06/09/2012 à 09h44.

  14. #13
    invite0a5f8b75

    Re : Table de vérité dur a comprendre.

    Voila j'ai effectuer ce que vous m'avez dit de faire (si j'ai bien fait ça )
    Mais ça ne fonctionne toujours pas ..
    L'erreur ne serrait-elle pas autre part ?
    En tout cas un grand merci pour votre aide !

    Nom : Sans titre.png
Affichages : 500
Taille : 31,1 Ko

  15. #14
    invite0a5f8b75

    Re : Table de vérité dur a comprendre.

    Oup je me suis tromper .. voici le vrai schéma mais rien ne s'allume .. ca ne fonctionne pas

    Nom : Sans titre.png
Affichages : 214
Taille : 32,2 Ko

  16. #15
    PA5CAL

    Re : Table de vérité dur a comprendre.

    Nos messages se sont croisés. L'opération logique réalisée sur le schéma de ton post #13 est équivalente à :

    ce qui donne toujours 0.

    J'attends la validation du schéma de ton post #14.

  17. #16
    invite0a5f8b75

    Re : Table de vérité dur a comprendre.

    Mon dernier schéma du post 14 ne fonctionne pas .. pour moi il y a une erreur autre part car tout à l'air correcte
    Merci à toi Pascal pour tes réponses

  18. #17
    invite0a5f8b75

    Re : Table de vérité dur a comprendre.

    Salut, j'ai effectuer tout ce qui a été dit et le schéma du post 14 ne fonctionne pas ..
    pourtant ca devrais fonctionner.. je recherche une erreur autre part mais tout me semble bien raccordé ..
    Merci pour ton aide Pascal !!

  19. #18
    PA5CAL

    Re : Table de vérité dur a comprendre.

    Il faut relier l'entrée MR1 du 4518 à la masse, de même que l'entrée BD du 4511.

  20. #19
    invite0a5f8b75

    Re : Table de vérité dur a comprendre.

    TU ES LE MEILLEUR !!!!!!!!! Merci sa tourne nickel SAUF que le 1 s'affiche 2x ?!
    ca donne sur l'afficheur : 1-2-3-4-5-6 puis sa recommence : 1 -1-2-3-4-5-6 mais donc il y a 2x le 1 .. fin pas grave

  21. #20
    PA5CAL

    Re : Table de vérité dur a comprendre.

    Toutefois, en admettant que le circuit fonctionne, je vois apparaître un problème de conception.


    Sur la datasheet du 4511, l'entrée s'appelle LE, pour Latch Enable.

    La commande par l'entrée LE revient à mémoriser dans le 4511 la dernière valeur présentée sur les entrées Bx lorsque l'entrée LE passe à 1.

    Or, l'entrée LE passe de 0 à 1 lorsque le comptage atteint la valeur "7", et plus précisément après. Par conséquent, c'est le chiffre "7" qui devrait s'afficher.

    Le passage à la valeur "0" pose un autre problème, car LE pourrait repasser à 0 durant un court instant avant de repasser à 1 (glitch), et c'est le chiffre "0" qui s'afficherait alors.


    Bref, le fonction escompté ne correspond pas à la solution présentée.

    De toute manière, si LE avait pu bloquer les chiffres 0 et 7, on aurait assisté à une occurrence trois fois plus élevée du chiffre "6" par rapport aux autres chiffres. Et ça, ça s'appelle un dé pipé .

  22. #21
    invitefaaca50b

    Re : Table de vérité dur a comprendre.

    d'ou ma proposition sur ABC sur le meme sujet, de passer d'un 4518 a un compteur prepositionable au reset, comme ca, on compte en realité de 1 a 6, la porte triple ET ne servant qu'a repositionner a 1 le compteur quand il arrive a 111 (7)... On n'a ni dé pipé, ni fonctionnement avec des doubles chiffres...
    Mais comme les composants inadequats ont ete achetés avant de savoir si le projet pourrait tourner avec ces composants....

    Et pour eviter selon la frequence de comptage du 555 une possibilité de compter les affichages du DE, on peut inverser uniquement les deux bits forts sur l'entree du decodeur 7 segments, ca rend le comptage encore plus etrange et illisible... on comptera 1 4 5 2 3 6 puis a nouveau 1 4 5 2 3 6 et ainsi de suite... ca change rien au montage...

  23. #22
    PA5CAL

    Re : Table de vérité dur a comprendre.

    Citation Envoyé par Juve91 Voir le message
    TU ES LE MEILLEUR !!!!!!!!! Merci sa tourne nickel SAUF que le 1 s'affiche 2x ?!
    ca donne sur l'afficheur : 1-2-3-4-5-6 puis sa recommence : 1 -1-2-3-4-5-6 mais donc il y a 2x le 1 .. fin pas grave
    Il ne faut pas perdre de vue que c'est seulement ce que donne le simulateur, et qui n'est par conséquent conforme à la réalité que moyennant certaines hypothèses souvent non réalisées.

    Ce que le circuit risque de donner une fois construit, c'est le fonctionnement que j'ai indiqué précédemment.
    Dernière modification par PA5CAL ; 06/09/2012 à 15h54.

Discussions similaires

  1. Table de vérité et chronogramme
    Par invite62c3a7d4 dans le forum Électronique
    Réponses: 4
    Dernier message: 08/02/2011, 21h08
  2. Table de vérité et chronogramme
    Par invite62c3a7d4 dans le forum Physique
    Réponses: 2
    Dernier message: 08/02/2011, 15h42
  3. Table de vérité / Karnaugh
    Par invitec73dbf9c dans le forum Électronique
    Réponses: 21
    Dernier message: 01/09/2009, 18h23
  4. Table de verité
    Par invite38b43f11 dans le forum Mathématiques du collège et du lycée
    Réponses: 8
    Dernier message: 24/05/2009, 16h34
  5. Table de vérité
    Par Seirios dans le forum Mathématiques du collège et du lycée
    Réponses: 7
    Dernier message: 11/04/2007, 14h54
Découvrez nos comparatifs produits sur l'informatique et les technologies.