les registre de décalage en VHDL
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

les registre de décalage en VHDL



  1. #1
    tahtouh

    les registre de décalage en VHDL


    ------

    bonjours


    j'ai pas compris pour quoi les gens cherches à décrire un registre à décalage en VHDL

    en faite je peut tous le temps déclarer un entier, et parcourir un signal, notamment si je veut seulement parcourir le registre

    est ce que cette solution propose des probleme pour le synthèse, est ce que je dit une bétise!!

    merci

    -----

  2. #2
    jiherve

    Re : les registre de décalage en VHDL

    Bonsoir,
    moi aussi je ne comprend pas tout, pourrais tu publier un bout de code?
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. Registre a decalage, avec bascule D(VHDL)
    Par deyni dans le forum Électronique
    Réponses: 15
    Dernier message: 09/03/2013, 12h42
  2. registre de décalage
    Par invite55fae67a dans le forum Physique
    Réponses: 3
    Dernier message: 20/12/2010, 21h37
  3. Registre à décalage
    Par invite2ceddf9e dans le forum Électronique
    Réponses: 14
    Dernier message: 09/04/2010, 07h15
  4. Registre à décalage
    Par invite1a530f8d dans le forum Électronique
    Réponses: 6
    Dernier message: 26/06/2009, 10h50
  5. registre à décalage
    Par invite74efa975 dans le forum Électronique
    Réponses: 3
    Dernier message: 30/05/2009, 17h54
Découvrez nos comparatifs produits sur l'informatique et les technologies.