registre de décalage
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

registre de décalage



  1. #1
    invite55fae67a

    registre de décalage


    ------

    je souhaite avoir de l'aide concernant mon exercice:
    un registre de décalage permet de transférer une information présente sur une entrée E, au rythme d'une horloge H vers la sortie S. ainsi on a: chaque fois que H passe de 0 à 1, la sortie S prend la valeur de E. S gardera cette valeur jusqu'à la prochaine transition de H ( 0 vers 1) . une transition de 1 vers 0 de H ne changera pas l'état de la sortie.
    on me demande de: décrire les différents états stables possibles pour le système. puis dresser la matrice primitive des états de ce système.
    merci infiniment

    -----

  2. #2
    invite765432345678
    Invité

    Re : registre de décalage

    Citation Envoyé par princino Voir le message
    je souhaite avoir de l'aide concernant mon exercice:
    un registre de décalage permet de transférer une information présente sur une entrée E, au rythme d'une horloge H vers la sortie S. ainsi on a: chaque fois que H passe de 0 à 1, la sortie S prend la valeur de E. S gardera cette valeur jusqu'à la prochaine transition de H ( 0 vers 1) . une transition de 1 vers 0 de H ne changera pas l'état de la sortie.
    on me demande de: décrire les différents états stables possibles pour le système. puis dresser la matrice primitive des états de ce système.
    merci infiniment
    L'exercice te donne le comportement d'un élément électronique appelé registre de décalage.

    Il faut tracer sur un diagramme en fonction du temps l'état de l'entrée E, l'état de l'horloge H, l'état de la sortie S et ce pour différentes valeurs de l'entrée E.

    Ensuite, il est possible d'écrire la matrice primitive des états de ce système en fonction de ce que tu constates (il faut avoir compris le cours).

    Cordialement,

  3. #3
    invite55fae67a

    Re : registre de décalage

    mais comment arriver à cette matrice primitive? merci une autre fois

  4. #4
    invitef1a50bf6

    Re : registre de décalage

    oui moi aussi je cherche la solution?
    je n ai aucune idee

  5. A voir en vidéo sur Futura

Discussions similaires

  1. Registre à décalage
    Par invite1a530f8d dans le forum Électronique
    Réponses: 6
    Dernier message: 26/06/2009, 10h50
  2. explications registre à décalage
    Par invitec1b15763 dans le forum Électronique
    Réponses: 1
    Dernier message: 11/06/2009, 11h44
  3. registre à décalage
    Par invite74efa975 dans le forum Électronique
    Réponses: 3
    Dernier message: 30/05/2009, 17h54
  4. Registre a decalage SN74HC595N
    Par hterrolle dans le forum Électronique
    Réponses: 5
    Dernier message: 26/03/2008, 13h45
  5. ligne à retard ou registre à décalage
    Par invite6f67057b dans le forum Électronique
    Réponses: 3
    Dernier message: 25/06/2004, 14h14